Reusing Leakage Current for Improved Energy Efficiency of Multi-Voltage Systems
暂无分享,去创建一个
[1] Ajay Kapoor,et al. Lower power by voltage stacking: A fine-grained system design approach , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[2] Ioannis Savidis,et al. Bi-directional input/output circuits with integrated level shifters for near-threshold computing , 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).
[3] Yang Li,et al. An energy-efficient heterogeneous dual-core processor for Internet of Things , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[4] Gu-Yeon Wei,et al. A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications , 2016, IEEE Journal of Solid-State Circuits.
[5] Kevin Skadron,et al. A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-IC , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[6] Indrani Paul,et al. Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on CPU-GPU Integrated systems , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[7] Justin J. Song,et al. Prediction of CPU idle-busy activity pattern , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[8] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[9] Ioannis Savidis,et al. Noise Constrained Optimum Selection of Supply Voltage for IoT Applications , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[10] Jose Renau,et al. GPU NTC Process Variation Compensation With Voltage Stacking , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Suhwan Kim,et al. Experimental measurement of a novel power gating structure with intermediate power saving mode , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[12] Ioannis Savidis,et al. Multi-Voltage Domain Power Distribution Network for Optimized Ultra-Low Voltage Clock Delivery , 2018, 2018 Ninth International Green and Sustainable Computing Conference (IGSC).
[13] Uming Ko,et al. 23.3 A highly integrated smartphone SoC featuring a 2.5GHz octa-core CPU with advanced high-performance and low-power techniques , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[14] Mahmut T. Kandemir,et al. Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores , 2012, CODES+ISSS '12.
[15] Bo Zhai,et al. Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor , 2007, 2007 IEEE Symposium on VLSI Circuits.
[16] K. Mazumdar,et al. Charge recycling on-chip DC-DC conversion for near-threshold operation , 2012, 2012 IEEE Subthreshold Microelectronics Conference (SubVT).
[17] Hong Wang,et al. An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[18] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[19] Stuart N. Wooters,et al. A 2.6-µW sub-threshold mixed-signal ECG SoC , 2009, 2009 Symposium on VLSI Circuits.
[20] Giacomo Indiveri,et al. A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) , 2017, IEEE Transactions on Biomedical Circuits and Systems.
[21] S. Tam,et al. A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[22] Jinuk Luke Shin,et al. A Power-Efficient High-Throughput 32-Thread SPARC Processor , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[23] Yajun Ha,et al. An ultra-low-energy/frame multi-standard JPEG co-processor in 65nm CMOS with sub/near-threshold power supply , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[24] Vanish Talwar,et al. Power Management of Datacenter Workloads Using Per-Core Power Gating , 2009, IEEE Computer Architecture Letters.
[25] Kevin J. Nowka,et al. Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[26] Ioannis Savidis,et al. Robust near-threshold inverter with improved performance for ultra-low power applications , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).