Hierarchical RTL-based combinatorial SER estimation

With increased device integration and a gradual trend toward higher operating frequencies, the effect of radiation induced transients in combinatorial logic (SETs) can no longer be ignored. Electrical, logical and temporal masking prevent the majority of SETs from becoming functional failures. Current work on SET analysis starts from a gate-level circuit representation, however, in an industrial design cycle, by the time a gate-level netlist is available, it is too late to make design changes. We propose a hierarchical SET analysis methodology that can be applied at the RTL level. The SET sensitivity of the cell library and the masking characteristics of standard combinatorial design blocks are pre-characterized and stored in compact models. The SET sensitivity of a complex circuit is then calculated by decomposing it into blocks and combining the compact SET models. Experimental results are presented for an ALU implemented in the NanGate library.

[1]  Shih-Chieh Chang,et al.  A probabilistic analysis method for functional qualification under Mutation Analysis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Bin Zhang,et al.  FASER: fast analysis of soft error susceptibility for cell-based designs , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[3]  Xiaodong Li,et al.  SoftArch: an architecture-level tool for modeling and analyzing soft errors , 2005, 2005 International Conference on Dependable Systems and Networks (DSN'05).

[4]  Arthur F. Witulski,et al.  Impact of logic synthesis on soft error vulnerability using a 90-nm bulk CMOS digital cell library , 2011, 2011 Proceedings of IEEE Southeastcon.

[5]  Sudhakar M. Reddy,et al.  Scalable Calculation of Logical Masking Effects for Selective Hardening Against Soft Errors , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[6]  Narayanan Vijaykrishnan,et al.  SEAT-LA: a soft error analysis tool for combinational logic , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[7]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[8]  Narayanan Vijaykrishnan,et al.  Hierarchical Soft Error Estimation Tool (HSEET) , 2008, ISQED 2008.

[9]  Narayanan Vijaykrishnan,et al.  Modeling Soft Errors at the Device and Logic Levels for Combinational Circuits , 2009, IEEE Transactions on Dependable and Secure Computing.

[10]  David Blaauw,et al.  An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[11]  Adrian Evans,et al.  RIIF - Reliability information interchange format , 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

[12]  Sanjay J. Patel,et al.  Characterizing the effects of transient faults on a high-performance processor pipeline , 2004, International Conference on Dependable Systems and Networks, 2004.

[13]  N. Seifert,et al.  Chip-level soft error estimation method , 2005, IEEE Transactions on Device and Materials Reliability.

[14]  N. Seifert,et al.  Comparison of alpha-particle and neutron-induced combinational and sequential logic error rates at the 32nm technology node , 2009, 2009 IEEE International Reliability Physics Symposium.

[15]  Sara Blanc,et al.  Enhancement of Fault Injection Techniques Based on the Modification of VHDL Code , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Diana Marculescu,et al.  Formal modeling and reasoning for reliability analysis , 2010, Design Automation Conference.

[17]  Joel S. Emer,et al.  The soft error problem: an architectural perspective , 2005, 11th International Symposium on High-Performance Computer Architecture.

[18]  Y. Yagil,et al.  A systematic approach to SER estimation and solutions , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[19]  Ming Zhang,et al.  A soft error rate analysis (SERA) methodology , 2004, ICCAD 2004.

[20]  B. L. Bhuva,et al.  Comparison of Combinational and Sequential Error Rates for a Deep Submicron Process , 2011, IEEE Transactions on Nuclear Science.

[21]  Kurt Keutzer,et al.  An observability-based code coverage metric for functional simulation , 1996, Proceedings of International Conference on Computer Aided Design.

[22]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[23]  Dan Alexandrescu,et al.  Towards optimized functional evaluation of SEE-induced failures in complex designs , 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

[24]  Prabhakar Kudva,et al.  Soft-error resilience of the IBM POWER6 processor , 2008, IBM J. Res. Dev..

[25]  Diana Marculescu,et al.  Circuit Reliability Analysis Using Symbolic Techniques , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Michael Nicolaidis,et al.  Soft Errors in Modern Electronic Systems , 2010 .