On Screening Reliability Using Lithographic Process Corner Information Gleaned from Tester Measurements
暂无分享,去创建一个
Sandip Kundu | Vikram B. Suresh | Vikram B. Suresh | Priyamvada Vijayakumar | S. Kundu | P. Vijayakumar
[1] Dwight L. Crook,et al. Breakdown Energy of Metal (BEM) - A New Technique for Monitoring Metallization Reliability at Wafer Level , 1985, 23rd International Reliability Physics Symposium.
[2] Bryan J. Root,et al. Wafer Level Electromigration Tests for Production Monitoring , 1985, 23rd International Reliability Physics Symposium.
[3] N. Croitoru,et al. Electromigration wafer level reliability test and analysis methodology , 1991, 17th Convention of Electrical and Electronics Engineers in Israel.
[4] A. Papp,et al. Use of test structures for a wafer-level-reliability monitoring , 1996, Proceedings of International Conference on Microelectronic Test Structures.
[5] Li Yan,et al. Economic cost modeling of environmental-stress-screening and burn-in , 1997 .
[6] Andrew B. Kahng,et al. Subwavelength optical lithography: challenges and impact on physical design , 1999, ISPD '99.
[7] Alan Mathewson,et al. Evaluation of test methods and associated test structures for interconnect reliability control , 1999, ICMTS 1999. Proceedings of 1999 International Conference on Microelectronic Test Structures (Cat. No.99CH36307).
[8] Ying Liu,et al. Model order-reduction of RC(L) interconnect including variational analysis , 1999, DAC '99.
[9] R. Y. Shiue,et al. Isothermal wafer-level electromigration test for the characterization of metal system reliability monitoring , 2001, 2001 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers (Cat. No.01TH8517).
[10] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[11] Peter C. Maxwell. Wafer-package test mix for optimal defect detection and test time savings , 2003, IEEE Design & Test of Computers.
[12] Lars Liebmann,et al. Layout impact of resolution enhancement techniques: impediment or opportunity? , 2003, ISPD '03.
[13] Sarma B. K. Vrudhula,et al. Stochastic analysis of interconnect performance in the presence of process variations , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[14] Jacob K. White,et al. A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] David Blaauw,et al. Variational delay metrics for interconnect timing analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..
[16] Jarrod A. Roy,et al. Capo: robust and scalable open-source min-cut floorplacer , 2005, ISPD '05.
[17] W. Wang,et al. Fast WLRC applications in foundry fabrication , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..
[18] J. Michelon,et al. The impact of scaling on interconnect reliability , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..
[19] Sani R. Nassif,et al. Modeling interconnect variability using efficient parametric model order reduction , 2005, Design, Automation and Test in Europe.
[20] David Abercrombie,et al. Method for Managing Electromigration in SOC'S When Designing for Both Reliability and Manufacturing , 2006, 2006 IEEE International SOC Conference.
[21] Ting-Chi Wang,et al. Post-Routing Redundant Via Insertion and Line End Extension with Via Density Consideration , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[22] T. Serdar,et al. Timing Preservation in Wire Spreading Utilized for Yield Improvement , 2006, 2006 IEEE International Conference on IC Design and Technology.
[23] Serge N. Demidenko,et al. Shortening Burn-In Test: Application of HVST and Weibull Statistical Analysis , 2007, IEEE Transactions on Instrumentation and Measurement.
[24] Sani R. Nassif,et al. High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.
[25] S. Demidenko,et al. Improving Efficiency of IC Burn-In Testing , 2008, 2008 IEEE Instrumentation and Measurement Technology Conference.
[26] Krishnendu Chakrabarty,et al. Test-Pattern Ordering for Wafer-Level Test-During-Burn-In , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[27] Sandip Kundu,et al. Statistical Yield Modeling for Sub-wavelength Lithography , 2008, 2008 IEEE International Test Conference.
[28] Krishnendu Chakrabarty,et al. Power Management Using Test-Pattern Ordering for Wafer-Level Test During Burn-In , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[29] Sandip Kundu,et al. Optical Lithography Simulation with Focus Variation using Wavelet Transform , 2010, 2010 23rd International Conference on VLSI Design.
[30] Sandip Kundu,et al. On design of test structures for lithographic process corner identification , 2011, 2011 Design, Automation & Test in Europe.