Run-time adaption for highly-complex multi-core systems

As embedded on-chip systems grow more and more complex and are about to be deployed in automotive and other demanding application areas (beyond the main-stream of consumer electronics), run-time adaptation is a prime design consideration for many reasons: i) reliability is a major concern when migrating to technology nodes of 32nm and beyond, ii) efficiency i.e. computational power per Watt etc. is a challenge as computing models do not keep up with hardware-provided computing capabilities, iii) power densities increase rapidly as Dennard Scaling fails resulting in what is dubbed “Dark Silicon”, iv) highly complex embedded applications are hard to predict etc. All these scenarios (and further not listed here) make proactive and sophisticated run-time adaption techniques a prime design consideration for generations of multi-core architectures to come. The intend of this paper is to present problems and solutions of top research initiatives from diverse angles with the common denominator of the dire need for run-time adaption: The first part tackles the thermal problem i.e. high power densities and the related short and long-term effects it has on the reliability and it presents scalable techniques to cope the related problems. The second section demonstrates the potential of steep slope devices on thread scheduling of multi-cores. The third approach presents embedded pipelined architectures running complex multi-media applications whereas the fourth section introduces the paradigm of invasive computing i.e. a novel computing approach promising high efficiency through a highly-adaptive hardware/software architecture. In summary, the paper presents snapshots on four highly-adaptive solutions and platforms from different angles for challenges of complex future multi-core systems.

[1]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[2]  Ahmed Amine Jerraya,et al.  Multiprocessor System-on-Chip (MPSoC) Technology , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Tajana Simunic,et al.  Temperature-aware MPSoC scheduling for reducing hot spots and gradients , 2008, 2008 Asia and South Pacific Design Automation Conference.

[4]  Xin He,et al.  Optimal synthesis of latency and throughput constrained pipelined MPSoCs targeting streaming applications , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[5]  Heba Khdr,et al.  Thermal management for dependable on-chip systems , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[6]  Jörg Henkel,et al.  Stress balancing to mitigate NBTI effects in register files , 2013, 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[7]  Mahmut T. Kandemir,et al.  Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[8]  Jörg Henkel,et al.  Self-Immunity Technique to Improve Register File Integrity Against Soft Errors , 2011, 2011 24th Internatioal Conference on VLSI Design.

[9]  Jürgen Becker,et al.  Multiprocessor System-on-Chip - Hardware Design and Tool Integration , 2011, Multiprocessor System-on-Chip.

[10]  Michael Gerndt,et al.  An integrated simulation framework for invasive computing , 2012, Proceeding of the 2012 Forum on Specification and Design Languages.

[11]  Giovanni De Micheli,et al.  Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.

[12]  Sri Parameswaran,et al.  Rapid Design Space Exploration of Application Specific Heterogeneous Pipelined Multiprocessor Systems , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Mahmut T. Kandemir,et al.  Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores , 2012, CODES+ISSS '12.

[14]  Jörg Henkel,et al.  Analyzing the thermal hotspots in FPGA-based embedded systems , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

[15]  Jürgen Teich,et al.  Invasive Computing: An Overview , 2011, Multiprocessor System-on-Chip.

[16]  Jörg Henkel,et al.  COOL: control-based optimization of load-balancing for thermal behavior , 2012, CODES+ISSS '12.

[17]  Jürgen Teich,et al.  Invasive Algorithms and Architectures Invasive Algorithmen und Architekturen , 2008, it Inf. Technol..

[18]  Luca P. Carloni,et al.  Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , 2012 .

[19]  Jürgen Teich,et al.  Resource-aware programming and simulation of MPSoC architectures through extension of X10 , 2011, SCOPES.

[20]  Muhammad Shafique,et al.  System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  T. Skotnicki,et al.  The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance , 2005, IEEE Circuits and Devices Magazine.

[22]  Jürgen Teich,et al.  Game-theoretic analysis of decentralized core allocation schemes on many-core systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Christoforos E. Kozyrakis,et al.  Understanding sources of inefficiency in general-purpose chips , 2010, ISCA.

[24]  Norbert Wehn,et al.  Reliable on-chip systems in the nano-era: Lessons learnt and future trends , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[25]  T. N. Vijaykumar,et al.  Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.

[26]  Luca Benini,et al.  A Feedback-Based Approach to DVFS in Data-Flow Applications , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.