Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method

This paper proposes a novel stochastic method for analyzing the voltage drop variations of on-chip power grid networks, considering lognormal leakage current variations. The new method, called StoEKS, applies Hermite polynomial chaos to represent the random variables in both power grid networks and input leakage currents. However, different from the existing orthogonal polynomial-based stochastic simulation method, extended Krylov subspace (EKS) method is employed to compute variational responses from the augmented matrices consisting of the coefficients of Hermite polynomials. Our contribution lies in the acceleration of the spectral stochastic method using the EKS method to fast solve the variational circuit equations for the first time. By using the reduction technique, the new method partially mitigates increased circuit-size problem associated with the augmented matrices from the Galerkin-based spectral stochastic method. Experimental results show that the proposed method is about two-order magnitude faster than the existing Hermite PC-based simulation method and many order of magnitudes faster than Monte Carlo methods with marginal errors. StoEKS is scalable for analyzing much larger circuits than the existing Hermit PC-based methods.

[1]  Yici Cai,et al.  Statistical Analysis of On-Chip Power Delivery Networks Considering Lognormal Leakage Current Variations With Spatial Correlation , 2008, IEEE Trans. Circuits Syst. I Regul. Pap..

[2]  V. Pitchumani,et al.  Design for manufacturability , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[3]  D. Xiu,et al.  Modeling uncertainty in flow simulations via generalized polynomial chaos , 2003 .

[4]  Thomas W. Williams EDA to the Rescue of the Silicon Roadmap , 2008, 38th International Symposium on Multiple Valued Logic (ismvl 2008).

[5]  Yici Cai,et al.  Practical Implementation of Stochastic Parameterized Model Order Reduction via Hermite Polynomial Chaos , 2007, 2007 Asia and South Pacific Design Automation Conference.

[6]  Sheldon X.-D. Tan,et al.  ETBR: Extended Truncated Balanced Realization Method for On-Chip Power Grid Network Analysis , 2008, 2008 Design, Automation and Test in Europe.

[7]  Vivek De,et al.  Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[8]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Yici Cai,et al.  Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[11]  Sheldon X.-D. Tan,et al.  Advanced Model Order Reduction Techniques in VLSI Design , 2007 .

[12]  Janet Roveda,et al.  Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources , 2000, Proceedings 37th Design Automation Conference.

[13]  Sarma B. K. Vrudhula,et al.  Stochastic analysis of interconnect performance in the presence of process variations , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[14]  Rajendran Panda,et al.  Stochastic power grid analysis considering process variations , 2005, Design, Automation and Test in Europe.

[15]  Farid N. Najm,et al.  Statistical Verification of Power Grids Considering Process-Induced Leakage Current Variations , 2003, ICCAD.

[16]  S.X.-D. Tan,et al.  Simulation of Power Grid Networks Considering Wires and Lognormal Leakage Current Variations , 2006, 2006 IEEE International Behavioral Modeling and Simulation Workshop.

[17]  Charlie Chung-Ping Chen,et al.  HiPRIME: hierarchical and passivity preserved interconnect macromodeling engine for RLKC power delivery , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Sani R. Nassif Design for Variability in DSM Technologies , 2000 .

[19]  Rajendran Panda,et al.  Stochastic variational analysis of large power grids considering intra-die correlations , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[20]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[21]  David Blaauw,et al.  Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.

[22]  Jun Li,et al.  A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching , 2005, Design, Automation and Test in Europe.

[23]  Farid N. Najm,et al.  Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[24]  Sheldon X.-D. Tan,et al.  Statistical Analysis of Power Grid Networks Considering Lognormal Leakage Current Variations with Spatial Correlation , 2006, 2006 International Conference on Computer Design.

[25]  Rajendran Panda,et al.  A stochastic approach to power grid analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..

[26]  R. Ghanem,et al.  Stochastic Finite Elements: A Spectral Approach , 1990 .