Performance evaluation of single-ended disturb-free CNTFET-based multi-Vt SRAM

Abstract We propose a single-ended disturb-free carbon nanotube field effect transistor (CNFET) based stable nine transistors (9T) SRAM cell using multi-threshold (multi-Vt) technology. Simulations of the CNFET 9T SRAM cell, using a CNFET HSPICE model, have shown advantages over the conventional Si-CMOS cell in terms of leakage power consumption, dynamic power consumption, stability, and delay. Due to higher carrier mobility and high ION/IOFF ratio makes the CNFET devices suitable for high-speed nanoelectronics applications. The disturb-free architecture and bit interleaving approach provide error-free operations at the low supply voltage. The proposed SRAM cell implementation for low leakage 16 nm CNFET technologies provides the possibility of high integration density which also significantly reduces 3.2 × power consumptions as compared to the conventional cell. The multi-threshold technology is capable of improving simultaneously the leakage current and dynamic power consumption. The proposed SRAM architecture is implemented with write-assist, adaptive supply voltage scaling and the impact of geometrical liability.

[1]  Tarun Kumar Gupta,et al.  Reliable high-yield CNTFET-based 9T SRAM operating near threshold voltage region , 2018 .

[2]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[3]  M. Yabuuchi,et al.  A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist , 2009, 2009 Symposium on VLSI Circuits.

[4]  Kaushik Roy,et al.  A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[5]  Paul Zuber,et al.  Variability aware modeling for yield enhancement of SRAM and logic , 2011, 2011 Design, Automation & Test in Europe.

[6]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[7]  Zhiyu Liu,et al.  Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Frank Schwierz,et al.  Graphene Transistors: Status, Prospects, and Problems , 2013, Proceedings of the IEEE.

[9]  W. Huott,et al.  6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM , 2007, 2007 IEEE Symposium on VLSI Circuits.

[10]  José G. Delgado-Frias,et al.  SRAM leakage in CMOS, FinFET and CNTFET technologies: leakage in 8t and 6t sram cells , 2012, GLSVLSI '12.

[11]  Robert C. Aitken,et al.  On the efficacy of write-assist techniques in low voltage nanoscale SRAMs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[12]  Khosrow Hajsadeghi,et al.  A novel low power 8T-cell sub-threshold SRAM with improved read-SNM , 2013, 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

[13]  Mohammad Sharifkhani,et al.  A Subthreshold Symmetric SRAM Cell With High Read Stability , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[14]  C.H. Kim,et al.  A Voltage Scalable 0.26 V, 64 kb 8T SRAM With V$_{\min}$ Lowering Techniques and Deep Sleep Mode , 2008, IEEE Journal of Solid-State Circuits.

[15]  Kaushik Roy,et al.  Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Wei Hwang,et al.  A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[17]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[18]  Ghasem Pasandi,et al.  A new sub-threshold 7T SRAM cell design with capability of bit-interleaving in 90 nm CMOS , 2013, 2013 21st Iranian Conference on Electrical Engineering (ICEE).

[19]  W. Goddard,et al.  Contact Resistance Properties between Nanotubes and Various Metals from Quantum Mechanics , 2007 .

[20]  Ming-Chien Tsai,et al.  Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[21]  Volkan Kursun,et al.  A Novel Robust and Low-Leakage SRAM Cell With Nine Carbon Nanotube Transistors , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  W. Dehaene,et al.  Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies , 2006, IEEE Journal of Solid-State Circuits.

[23]  Rajiv V. Joshi,et al.  A Novel Column-Decoupled 8T Cell for Low-Power Differential and Domino-Based SRAM Design , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Zhi-Hui Kong,et al.  An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[25]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[26]  Keith A. Bowman,et al.  PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[27]  Yong-Bin Kim,et al.  Design of a CNTFET-Based SRAM Cell by Dual-Chirality Selection , 2010, IEEE Transactions on Nanotechnology.

[28]  H. Wong,et al.  Impact of a Process Variation on Nanowire and Nanotube Device Performance , 2007, IEEE Transactions on Electron Devices.

[29]  Zhe Zhang,et al.  Carbon Nanotube SRAM Design With Metallic CNT or Removed Metallic CNT Tolerant Approaches , 2012, IEEE Transactions on Nanotechnology.

[30]  Masanori Hashimoto,et al.  Alpha-particle-induced soft errors and multiple cell upsets in 65-nm 10T subthreshold SRAM , 2010, 2010 IEEE International Reliability Physics Symposium.

[31]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.