Novel and efficient min cut based voltage assignment in gate level

In this paper, we propose a novel min cut based algorithm for multiple supply voltage assignment under timing constraints. Different with the traditional sensitivity based methods which focus on how to make full use of the slacks of non-critical gates, the proposed algorithm concentrates on critical gates. The circuit is initialized in the lowest power level, then the length of critical paths is tried to be shortened with the minimized power increment until the timing constraints are satisfied. Experimental results show that given dual-vdd, our method beats traditional methods both in power saving and runtime, especially runtime.

[1]  Kurt Keutzer,et al.  System-Level Performance Modeling with BACPAC - Berkeley Advanced Chip Performance Calculator , 1999 .

[2]  Li Shang,et al.  TAPHS: thermal-aware unified physical-level and high-level synthesis , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[3]  Evangeline F. Y. Young,et al.  Network flow-based power optimization under timing constraints in MSV-driven floorplanning , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[4]  Ronald L. Rivest,et al.  Introduction to Algorithms, third edition , 2009 .

[5]  Andrew V. Goldberg,et al.  A new approach to the maximum flow problem , 1986, STOC '86.

[6]  M. C. Chi,et al.  Gate Level Multiple Supply Voltage Assignment Algorithm for Power Optimization Under Timing Constraint , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[8]  Ronald L. Rivest,et al.  Introduction to Algorithms , 1990 .

[9]  Charlie Chung-Ping Chen,et al.  Fast and effective gate-sizing with multiple-V/sub t/ assignment using generalized Lagrangian relaxation , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[10]  Hsin-Hsiung Huang,et al.  Optimal voltage assignment approach for low power using ILP , 2008 .

[11]  Jiang Hu,et al.  A New Algorithm for Simultaneous Gate Sizing and Threshold Voltage Assignment , 2010, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Ankur Srivastava,et al.  On gate level power optimization using dual-supply voltages , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Dennis Sylvester,et al.  High performance level conversion for dual V/sub DD/ design , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  David Blaauw,et al.  Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..

[15]  Dennis Sylvester,et al.  A new algorithm for improved VDD assignment in low power dual VDD systems , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[16]  D. Sylvester,et al.  Minimizing total power by simultaneous Vdd/Vth assignment , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

[17]  Charlie Chung-Ping Chen,et al.  Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation , 2005, ASP-DAC.

[18]  Sarma B. K. Vrudhula,et al.  Static power optimization of deep submicron CMOS circuits for dual VT technology , 1998, ICCAD.

[19]  Sung Kyu Lim,et al.  Integrated retiming and simultaneous Vdd/Vth scaling for total power minimization , 2006, ISPD '06.

[20]  David G. Chinnery,et al.  Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization , 2003, ISLPED '03.

[21]  Qi Wang,et al.  Static power optimization of deep submicron CMOS circuits for dual V/sub T/ technology , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).