Leveraging FDSOI through body bias domain partitioning and bias search

In FDSOI, sophisticated body biasing schemes can greatly reduce leakage or improve performance as well as efficiency. This paper proposes algorithms to determine body bias domain candidates which then merge those to reach a desired number of domains. Domain candidates are determined using an activation based approach, analyzing mapped Verilog netlists to identify which parts of the design are used under specified conditions. Body bias domain partitionings are then determined based on activation and the timing of the partitioned parts. The algorithms include a body bias assignment algorithm to reach given timing goals with multiple domains and cross-domain resource sharing. The approach is compatible with any synthesis optimization and is resource sharing aware. Using an implementation of the proposed algorithms, overall leakage can be significantly reduced in all scenarios while obtaining the same benefits of body biasing. The method is evaluated in STMicro's 28nm FDSOI and Renesas's 65nm SOTB.

[1]  Wolfgang Rosenstiel,et al.  Spatial and temporal granularity limits of body biasing in UTBB-FDSOI , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Chittaranjan A. Mandal,et al.  Workload Driven Power Domain Partitioning , 2012, VDAT.

[3]  David M. Lewis,et al.  Architectural enhancements in Stratix-III™ and Stratix-IV™ , 2009, FPGA '09.

[4]  Hideharu Amano,et al.  An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[5]  Robin Wilson,et al.  A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization , 2014, IEEE Journal of Solid-State Circuits.

[6]  Hanpei Koike,et al.  Fully-functional FPGA prototype with fine-grain programmable body biasing , 2013, FPGA '13.

[7]  Josep Torrellas,et al.  Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[8]  Pascal Benoit,et al.  Power management through DVFS and dynamic body biasing in FD-SOI circuits , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  Josep Torrellas,et al.  Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[10]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).