Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design

Steep sub-threshold Interband Tunnel FETs (TFETs) are promising candidates for low supply voltage applications with higher switching performance than traditional CMOS. Unlike CMOS, TFETs exhibit uni-directional conduction due to their asymmetric source-drain architecture, and delayed output saturation characteristics. These unconventional characteristics of TFETs pose a challenge for providing good read/write noise margin characteristics in TFET SRAMs. We provide an analysis of 8T and 10T TFET SRAM cells, including Schmitt-Trigger (ST) based cells, to address these shortcomings. By benchmarking a variety of TFET-based SRAM cells, we show the utility of the Schmitt-Trigger feedback mechanism in improving the read/write noise margins, thus enabling ultra low-VCC operation for TFET SRAMs. We also propose a variation model for studying the impact of device-level variation on TFET SRAM cells. We show that the TFET ST SRAM cell has sufficient variation tolerance to operate at low-VCC, and is a very promising cell to achieve a VCC-min of 124mV. The TFET ST cell operating at its VCC-min provides a 1.2x reduction in dynamic energy and 13x reduction in leakage power compared to the best CMOS-based SRAM implementation operating at it's VCC-min, while giving better performance at the same time.

[1]  Kaushik Roy,et al.  Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Masashi Horiguchi,et al.  Review and future prospects of low-voltage RAM circuits , 2003, IBM J. Res. Dev..

[3]  S. Datta,et al.  Self-aligned gate nanopillar In0.53Ga0.47As vertical tunnel transistor , 2011, 69th Device Research Conference.

[4]  Gerhard Klimeck,et al.  Performance comparisons of tunneling field-effect transistors made of InSb, Carbon, and GaSb-InAs broken gap heterostructures , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[5]  Narayanan Vijaykrishnan,et al.  An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Kaustav Banerjee,et al.  Vertical Si-Nanowire n-Type Tunneling FETs With Low Subthreshold Swing (≤ 50 mV/decade) at Room Temperature , 2011 .

[7]  Elena Plis,et al.  Ultrathin body InAs tunneling field-effect transistors on Si substrates , 2011 .

[8]  Kaushik Roy,et al.  Low-Power CMOS VLSI Circuit Design , 2000 .

[9]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[10]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[11]  Kartik Mohanram,et al.  Robust 6T Si tunneling transistor SRAM design , 2011, 2011 Design, Automation & Test in Europe.

[12]  David Blaauw,et al.  A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[13]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[14]  Kaushik Roy,et al.  Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[15]  H. Fujiwara,et al.  Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differential — , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.

[16]  S. Mookerjea,et al.  Low Power Loadless 4T SRAM cell based on degenerately doped source (DDS) In0.53Ga0.47As Tunnel FETs , 2010, 68th Device Research Conference.

[17]  Sneh Saurabh,et al.  Estimation and Compensation of Process-Induced Variations in Nanoscale Tunnel Field-Effect Transistors for Improved Reliability , 2010, IEEE Transactions on Device and Materials Reliability.

[18]  Kaushik Roy,et al.  A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[19]  Shyamkumar Thoziyoor,et al.  CACTI 5 . 1 , 2008 .

[20]  Naveen Verma,et al.  A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[21]  Dhiraj K. Pradhan,et al.  A novel Si-Tunnel FET based SRAM design for ultra low-power 0.3V VDD applications , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[22]  David Blaauw,et al.  Low power circuit design based on heterojunction tunneling transistors (HETTs) , 2009, ISLPED.