Chip clustering with mutual information on multiple clock tests and its application to yield tuning
暂无分享,去创建一个
Jing-Jia Liou | Ting-Shuo Hsu | Jun-Hua Kuo | Jiun-Yi Chiang | J. Liou | Ting-Shuo Hsu | Jiun-Yi Chiang | J. Kuo
[1] Linda S. Milor,et al. Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Tom W. Chen,et al. A low cost individual-well adaptive body bias (IWABB) scheme for leakage power reduction and performance enhancement in the presence of intra-die variations , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[3] Tom W. Chen,et al. Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[5] Christopher D. Manning,et al. Introduction to Information Retrieval , 2010, J. Assoc. Inf. Sci. Technol..
[6] Rajendran Panda,et al. Statistical delay computation considering spatial correlations , 2003, ASP-DAC '03.
[7] E. Morifuji,et al. Compact model for layout dependent variability , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[8] Manoj Sachdev,et al. Variation-Aware Adaptive Voltage Scaling System , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[9] J. Tschanz,et al. Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).
[10] Ankur Srivastava,et al. Active mode leakage reduction using fine-grained forward body biasing strategy , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[11] Jing-Jia Liou,et al. Test Cost Reduction for Performance Yield Recovery by Classification of Multiple-Clock Test Data , 2012, 2012 IEEE 21st Asian Test Symposium.
[12] Min Chen,et al. Variability analysis under layout pattern-dependent Rapid-Thermal Annealing process , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[13] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[14] Vivek De,et al. Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.
[15] Chih-Jen Lin,et al. LIBSVM: A library for support vector machines , 2011, TIST.
[16] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[17] Tom W. Chen,et al. Optimization of individual well adaptive body biasing (IWABB) using a multiple objective evolutionary algorithm , 2005, Sixth international symposium on quality electronic design (isqed'05).
[18] Ying-Yen Chen,et al. Diagnosis-assisted supply voltage configuration to increase performance yield of cell-based designs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[19] Sunil P. Khatri,et al. A self-adjusting scheme to determine the optimum RBB by monitoring leakage currents , 2005, Proceedings. 42nd Design Automation Conference, 2005..