Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing

As semiconductor technology advances into the nanoscale era and more functional blocks are added into systems-on-chip, the interface between circuit design and manufacturing is becoming blurred. An increasing number of features, traditionally ignored by designers, are influencing both circuit performance and yield. As a result, design tools need to incorporate new factors. One important source of circuit-performance degradation comes from deterministic within-die variation from lithography imperfections and Cu-interconnect chemical-mechanical polishing (CMP). To determine how these within-die variations impact circuit performance, we need a new analysis tool. Thus, we have proposed a methodology to involve layout-dependent within-die variations in static timing analysis. Our methodology combines a set of scripts and commercial tools to analyze a full chip. The tool has been applied to analyze delay of ISCAS85 benchmark circuits in the presence of imperfect lithography and CMP variation

[1]  Shyh-Chyi Wong,et al.  An empirical three-dimensional crossover capacitance model for multilevel interconnect VLSI circuits , 2000 .

[2]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[3]  Sani R. Nassif,et al.  A Methodology for Worst-Case Analysis of Integrated Circuits , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  D. Boning,et al.  Characterization and modeling of oxide chemical-mechanical polishing using planarization length and pattern density concepts , 2002 .

[5]  David Blaauw,et al.  Inductance model and analysis methodology for high-speed on-chip interconnect , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[6]  David Blaauw,et al.  Gate oxide leakage current analysis and reduction for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Chi-Yuan Lo,et al.  Parasitic extraction: current state of the art and future trends , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

[8]  Sani R. Nassif,et al.  Static timing analysis based circuit-limited-yield estimation , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

[9]  Jason Cong,et al.  Analysis and justification of a simple, practical 2 1/2-D capacitance extraction methodology , 1997, DAC.

[10]  Feng Wang,et al.  An effective method of characterization poly gate CD variation and its impact on product performance and yield , 2003 .

[11]  D. Boning,et al.  MODELING OF PATTERN DEPENDENCIES IN ABRASIVE-FREE COPPER CHEMICAL MECHANICAL POLISHING PROCESSES , 2001 .

[12]  Jayanthi Pallinti,et al.  Electrical characterization of the copper CMP process and derivation of metal layout rules , 2003 .

[13]  Seong-Woon Choi,et al.  Measurement of the flare and in-field linewidth variation due to the flare , 2002, SPIE Advanced Lithography.

[14]  Bruce W. Smith,et al.  Influences of off-axis illumination on optical lens aberration , 1998 .

[15]  Sani R. Nassif,et al.  Modeling and forecasting of manufacturing variations , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

[16]  David G. Chinnery,et al.  Closing the gap between ASIC and custom: an ASIC perspective , 2000, Proceedings 37th Design Automation Conference.

[17]  Bruce W. Smith Variations to the influence of lens aberration invoked with PSM and OAI , 1999, Advanced Lithography.

[18]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[19]  Wojciech Maly,et al.  Abstract 1.0 Introduction , 2022 .

[20]  K. Ronse,et al.  Optical Lithography Techniques for 0.25 μm and Below , 1994, ESSDERC '94: 24th European Solid State Device Research Conference.

[21]  K. Ronse,et al.  Optical lithography techniques for 0.25 /spl mu/m and below: CD control issues , 1995, 1995 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers.

[22]  Kurt Keutzer,et al.  Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  The influence of electron temperature on pattern-dependent charging during etching in high-density plasmas , 1997 .

[24]  Jamil Kawa,et al.  Managing on-chip inductive effects , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[25]  Chris A. Mack Measuring and modeling flare in optical lithography , 2003, SPIE Advanced Lithography.

[26]  Duane S. Boning,et al.  Overview of Methods for Characterization of Pattern Dependencies in Copper CMP , 2000 .

[27]  Wojciech Maly,et al.  Analysis of the impact of proximity correction algorithms on circuit performance , 1999 .

[28]  Duane S. Boning,et al.  Simulating the impact of pattern-dependent poly-CD variation on circuit performance , 1998 .

[29]  David Blaauw,et al.  Statistical timing analysis using bounds and selective enumeration , 2003, TAU '02.

[30]  Costas J. Spanos,et al.  Compact formulation of mask error factor for critical dimension control in optical lithography , 2002, SPIE Advanced Lithography.

[31]  Mark Horowitz,et al.  Timing Models for MOS Circuits , 1983 .

[32]  Ying Liu,et al.  Model order-reduction of RC(L) interconnect including variational analysis , 1999, DAC '99.

[33]  Hajime Aoyama,et al.  Correction for local flare effects approximated with double Gaussian profile in ArF lithography , 2003 .

[34]  Alfred K. Wong,et al.  Microlithography: Trends, Challenges, Solutions, and Their Impact on Design , 2003, IEEE Micro.

[35]  M. Hane,et al.  Coupled atomistic 3D process/device simulation considering both line-edge roughness and random-discrete-dopant effects , 2003, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003..

[36]  Costas J. Spanos,et al.  Optimum sampling for characterization of systematic variation in photolithography , 2002, SPIE Advanced Lithography.

[37]  Kurt Keutzer,et al.  A general probabilistic framework for worst case timing analysis , 2002, DAC '02.

[38]  Yuri Granik,et al.  Correction for etch proximity: new models and applications , 2001, SPIE Advanced Lithography.

[39]  D. Boning,et al.  The physical and electrical effects of metal-fill patterning practices for oxide chemical-mechanical polishing processes , 1998 .

[40]  Kaushik Roy,et al.  Gate leakage reduction for scaled devices using transistor stacking , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[41]  Puneet Gupta,et al.  A cost-driven lithographic correction methodology based on off-the-shelf sizing tools , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[42]  Wojciech Maly,et al.  Design-manufacturing interface. I. Vision [VLSI] , 1998, Proceedings Design, Automation and Test in Europe.

[43]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[44]  Sani R. Nassif,et al.  A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance , 2000, Proceedings 37th Design Automation Conference.

[45]  Andrew B. Kahng,et al.  Area fill synthesis for uniform layout density , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[46]  V. Macary,et al.  Current mismatch due to local dopant fluctuations in MOSFET channel , 2003 .

[47]  Harry J. Levinson,et al.  Principles of Lithography , 2001 .

[48]  T. Linton,et al.  Determination of the line edge roughness specification for 34 nm devices , 2002, Digest. International Electron Devices Meeting,.

[49]  K. Tokashiki,et al.  Accurate gate CD control for 130 nm CMOS technology node , 2003 .

[50]  Kwyro Lee,et al.  A unified RLC model for high-speed on-chip interconnects , 2003 .

[51]  Timothy A. Brunner,et al.  Impact of lens aberrations on optical lithography , 1997, IBM J. Res. Dev..

[52]  David J. Sager,et al.  The microarchitecture of the Pentium 4 processor , 2001 .

[53]  Vivek Singh,et al.  Lithography strategy for 65-nm node , 2002, Photomask Japan.

[54]  David G. Chinnery,et al.  Closing the power gap between ASIC and custom: an ASIC perspective , 2000, Proceedings. 42nd Design Automation Conference, 2005..