Mini-Review: Modeling and Performance Analysis of Nanocarbon Interconnects

[1]  K. Banerjee,et al.  Intercalation Doped Multilayer-Graphene-Nanoribbons for Next-Generation Interconnects. , 2017, Nano letters.

[2]  A. Asenov,et al.  Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances—Part II: Impact of Charge Transfer Doping , 2018, IEEE Transactions on Electron Devices.

[3]  J. Meindl,et al.  Breakdown current density of graphene nanoribbons , 2009, 0906.4156.

[4]  Antonio Maffucci,et al.  Number of Conducting Channels for Armchair and Zig-Zag Graphene Nanoribbon Interconnects , 2013, IEEE Transactions on Nanotechnology.

[5]  Gaofeng Wang,et al.  Analysis of Cu-Graphene Interconnects , 2018, IEEE Access.

[6]  A. Naeemi,et al.  Physical Modeling of Temperature Coefficient of Resistance for Single- and Multi-Wall Carbon Nanotube Interconnects , 2007, IEEE Electron Device Letters.

[7]  V. Kumar,et al.  Performance and Energy-per-Bit Modeling of Multilayer Graphene Nanoribbon Conductors , 2012, IEEE Transactions on Electron Devices.

[8]  Walter Zamboni,et al.  Modeling Issues and Performance Analysis of High-Speed Interconnects Based on a Bundle of SWCNT , 2010, IEEE Transactions on Electron Devices.

[9]  Jun Hu,et al.  Performance and stability analysis of monolayer single‐walled carbon nanotube interconnects , 2015 .

[10]  P. Burke Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes , 2002 .

[11]  Jun Hu,et al.  Electrothermal Characterization of Multilevel Cu-Graphene Heterogeneous Interconnects in the Presence of an Electrostatic Discharge (ESD) , 2015, IEEE Transactions on Nanotechnology.

[12]  K. Banerjee,et al.  Carbon Nanotube Vias: Does Ballistic Electron–Phonon Transport Imply Improved Performance and Reliability? , 2011, IEEE Transactions on Electron Devices.

[13]  Chandreswar Mahata,et al.  Graphene as an atomically thin barrier to Cu diffusion into Si. , 2014, Nanoscale.

[14]  M. Shrivastava,et al.  ESD Behavior of MWCNT Interconnects—Part I: Observations and Insights , 2017, IEEE Transactions on Device and Materials Reliability.

[15]  J. Meindl,et al.  Monolayer metallic nanotube interconnects: promising candidates for short local interconnects , 2005, IEEE Electron Device Letters.

[16]  Antonio Maffucci,et al.  Electrical Properties of Graphene for Interconnect Applications , 2014 .

[17]  Jun Hu,et al.  Signal Transmission Analysis of Multilayer Graphene Nano-Ribbon (MLGNR) Interconnects , 2012, IEEE Transactions on Electromagnetic Compatibility.

[18]  J. G. Ryan,et al.  The evolution of interconnection technology at IBM , 1995, IBM J. Res. Dev..

[19]  James D. Meindl,et al.  Beyond Moore's Law: the interconnect era , 2004, Computing in Science & Engineering.

[20]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[21]  Gaofeng Wang,et al.  Repeater insertion for carbon nanotube interconnects , 2014 .

[22]  Ravi Prasher,et al.  Graphene Spreads the Heat , 2010, Science.

[23]  Takashi Mizutani,et al.  Carbon nanotubes for VLSI: Interconnect and transistor applications , 2010, Proceedings of 2011 International Symposium on VLSI Technology, Systems and Applications.

[24]  Andre K. Geim,et al.  Electric Field Effect in Atomically Thin Carbon Films , 2004, Science.

[25]  R. Mehta,et al.  Transfer-free multi-layer graphene as a diffusion barrier. , 2017, Nanoscale.

[26]  Y. Chai,et al.  Synthesis and interface characterization of CNTs on graphene , 2017, Nanotechnology.

[27]  M. Shrivastava,et al.  ESD Behavior of MWCNT Interconnects—Part II: Unique Current Conduction Mechanism , 2017, IEEE Transactions on Device and Materials Reliability.

[28]  Gaofeng Wang,et al.  Repeater Insertion for Multi-Walled Carbon Nanotube Interconnects , 2018 .

[29]  A. Asenov,et al.  Understanding Electromigration in Cu-CNT Composite Interconnects: A Multiscale Electrothermal Simulation Study , 2018, IEEE Transactions on Electron Devices.

[30]  Baozhen Li,et al.  Reliability challenges for copper interconnects , 2004, Microelectron. Reliab..

[31]  S. Iijima Helical microtubules of graphitic carbon , 1991, Nature.

[32]  K. Banerjee,et al.  Circuit Modeling and Performance Analysis of Multi-Walled Carbon Nanotube Interconnects , 2008, IEEE Transactions on Electron Devices.

[33]  J. Meindl,et al.  Compact Physics-Based Circuit Models for Graphene Nanoribbon Interconnects , 2009, IEEE Transactions on Electron Devices.

[34]  Sunny Chugh,et al.  Enhanced electrical and thermal conduction in graphene-encapsulated copper nanowires. , 2015, Nano letters.

[35]  A. Maffucci Carbon nanotubes in nanopackaging applications , 2009, IEEE Nanotechnology Magazine.

[36]  S. D. Pable,et al.  Interconnect Design for Subthreshold Circuits , 2012, IEEE Transactions on Nanotechnology.

[37]  Subhasish Mitra,et al.  Three-dimensional integration of nanotechnologies for computing and data storage on a single chip , 2017, Nature.

[38]  Joerg Appenzeller,et al.  Screening and interlayer coupling in multilayer graphene field-effect transistors. , 2009, Nano letters.

[39]  K. Banerjee,et al.  Scaling analysis of multilevel interconnect temperatures for high-performance ICs , 2005, IEEE Transactions on Electron Devices.

[40]  Gaofeng Wang,et al.  Estimation of Time Delay and Repeater Insertion in Multiwall Carbon Nanotube Interconnects , 2011, IEEE Transactions on Electron Devices.

[41]  Brajesh Kumar Kaushik,et al.  Time and Frequency Domain Analysis of MLGNR Interconnects , 2015, IEEE Transactions on Nanotechnology.

[42]  P. Chan,et al.  Electromigration Studies of Cu/Carbon Nanotube Composite Interconnects Using Blech Structure , 2008, IEEE Electron Device Letters.

[43]  Kwon,et al.  Unusually high thermal conductivity of carbon nanotubes , 2000, Physical review letters.

[44]  J. Tour,et al.  Longitudinal unzipping of carbon nanotubes to form graphene nanoribbons , 2009, Nature.

[45]  A. G. Chiariello,et al.  Electrical Modeling of Carbon Nanotube Vias , 2012, IEEE Transactions on Electromagnetic Compatibility.

[46]  G. Miano,et al.  Signal Propagation in Carbon Nanotubes of Arbitrary Chirality , 2011, IEEE Transactions on Nanotechnology.

[47]  Hai Lin,et al.  Modeling of Crosstalk Effects in Multiwall Carbon Nanotube Interconnects , 2012, IEEE Transactions on Electromagnetic Compatibility.

[48]  Andrea Gaetano Chiariello,et al.  Circuit Models of Carbon-Based Interconnects for Nanopackaging , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[49]  R. Suaya,et al.  Compact AC Modeling and Performance Analysis of Through-Silicon Vias in 3-D ICs , 2010, IEEE Transactions on Electron Devices.

[50]  F. Catthoor,et al.  Technology/Circuit/System Co-Optimization and Benchmarking for Multilayer Graphene Interconnects at Sub-10-nm Technology Node , 2015, IEEE Transactions on Electron Devices.

[51]  Gaofeng Wang,et al.  Vertical Graphene Nanoribbon Interconnects at the End of the Roadmap , 2018, IEEE Transactions on Electron Devices.

[52]  H. Wong,et al.  In-Situ Grown Graphene Enabled Copper Interconnects With Improved Electromigration Reliability , 2019, IEEE Electron Device Letters.

[53]  C. Xu,et al.  Carbon Nanomaterials for Next-Generation Interconnects and Passives: Physics, Status, and Prospects , 2009, IEEE Transactions on Electron Devices.

[54]  A Naeemi,et al.  Ultralow-Power Single-Wall Carbon Nanotube Interconnects for Subthreshold Circuits , 2011, IEEE Transactions on Nanotechnology.

[55]  E. Pop,et al.  Thermal properties of graphene: Fundamentals and applications , 2012, 1301.6181.

[56]  Hui-Ming Cheng,et al.  Tensile strength of single-walled carbon nanotubes directly measured from their macroscopic ropes , 2000 .

[57]  Kaustav Banerjee,et al.  A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .

[58]  Brajesh Kumar Kaushik,et al.  Analysis of Delay and Dynamic Crosstalk in Bundled Carbon Nanotube Interconnects , 2014, IEEE Transactions on Electromagnetic Compatibility.

[59]  Q.H. Liu,et al.  Crosstalk Prediction of Single- and Double-Walled Carbon-Nanotube (SWCNT/DWCNT) Bundle Interconnects , 2009, IEEE Transactions on Electron Devices.

[60]  E. Pop,et al.  Transport in nanoribbon interconnects obtained from graphene grown by chemical vapor deposition. , 2012, Nano letters.

[61]  S. Mahapatra,et al.  Analytical Solution of Joule-Heating Equation for Metallic Single-Walled Carbon Nanotube Interconnects , 2011, IEEE Transactions on Electron Devices.

[62]  Rajeev J. Ram,et al.  Single-chip microprocessor that communicates directly using light , 2015, Nature.

[63]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[64]  J. Meindl,et al.  Design and Performance Modeling for Single-Walled Carbon Nanotubes as Local, Semiglobal, and Global Interconnects in Gigascale Integrated Systems , 2007, IEEE Transactions on Electron Devices.

[65]  M. Potemski,et al.  Few-layer graphene on SiC, pyrolitic graphite, and graphene: A Raman scattering study , 2007, 0709.2538.

[66]  Guoqing Chen,et al.  Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[67]  Min Tang,et al.  Modeling and Fast Simulation of Multiwalled Carbon Nanotube Interconnects , 2015, IEEE Transactions on Electromagnetic Compatibility.

[68]  C. N. Lau,et al.  Superior thermal conductivity of single-layer graphene. , 2008, Nano letters.

[69]  N. D. Pandya,et al.  Analysis of MWCNT and Bundled SWCNT Interconnects: Impact on Crosstalk and Area , 2012, IEEE Electron Device Letters.

[70]  Gerson Oswaldo Bueno-Garcia Preparación y caracterización de nanofluidos de grafeno y nanotubos de carbono funcionalizados para su uso en procesos de transferencia de calor , 2020 .

[71]  W. Yin,et al.  Carbon-Based Interconnects for RF Nanoelectronics , 2012 .

[72]  Yehea I. Ismail,et al.  Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[73]  Gaofeng Wang,et al.  Repeater Insertion to Reduce Delay and Power in Copper and Carbon Nanotube-Based Nanointerconnects , 2019, IEEE Access.

[74]  K. Banerjee,et al.  Low-Resistivity Long-Length Horizontal Carbon Nanotube Bundles for Interconnect Applications—Part I: Process Development , 2013, IEEE Transactions on Electron Devices.

[75]  Takeo Yamada,et al.  One hundred fold increase in current carrying capacity in a carbon nanotube–copper composite , 2013, Nature Communications.

[76]  Wen-Yan Yin,et al.  Comparative Study on Multilayer Graphene Nanoribbon (MLGNR) Interconnects , 2014, IEEE Transactions on Electromagnetic Compatibility.

[77]  P. Ajayan,et al.  Reliability and current carrying capacity of carbon nanotubes , 2001 .

[78]  M. S. Sarto,et al.  Single-Conductor Transmission-Line Model of Multiwall Carbon Nanotubes , 2010, IEEE Transactions on Nanotechnology.

[79]  Azad Naeemi,et al.  Evaluation of the Potential Performance of Graphene Nanoribbons as On-Chip Interconnects , 2013, Proceedings of the IEEE.

[80]  A. Reina,et al.  Large area, few-layer graphene films on arbitrary substrates by chemical vapor deposition. , 2009, Nano letters.

[81]  Na Li,et al.  Electrothermal Cosimulation of 3-D Carbon-Based Heterogeneous Interconnects , 2016, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[82]  Phaedon Avouris,et al.  Graphene: electronic and photonic properties and devices. , 2010, Nano letters.

[83]  A. Asenov,et al.  Atomistic- to Circuit-Level Modeling of Doped SWCNT for On-Chip Interconnects , 2018, IEEE Transactions on Nanotechnology.

[84]  A. Asenov,et al.  Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances—Part I: Pristine MWCNT , 2018, IEEE Transactions on Electron Devices.

[85]  Jen Fin Lin,et al.  1-nm-thick graphene tri-layer as the ultimate copper diffusion barrier , 2014 .

[86]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.

[87]  Gaofeng Wang,et al.  Electrical Modeling of On-Chip Cu-Graphene Heterogeneous Interconnects , 2015, IEEE Electron Device Letters.

[88]  William A. Goddard,et al.  Contact Resistance for “End-Contacted” Metal−Graphene and Metal−Nanotube Interfaces from Quantum Mechanics , 2010 .

[89]  K. Banerjee,et al.  On the Applicability of Single-Walled Carbon Nanotubes as VLSI Interconnects , 2009, IEEE Transactions on Nanotechnology.

[90]  Ashok Srivastava,et al.  Modeling of Joule Heating Induced Effects in Multiwall Carbon Nanotube Interconnects , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[91]  K. Novoselov,et al.  Thermal properties of graphene-copper-graphene heterogeneous films. , 2014, Nano letters.

[92]  G. Eda,et al.  Large-area ultrathin films of reduced graphene oxide as a transparent and flexible electronic material. , 2008, Nature nanotechnology.

[93]  J. Robertson,et al.  Growth of ultrahigh density single-walled carbon nanotube forests by improved catalyst design. , 2012, ACS nano.

[94]  Wensheng Zhao,et al.  Investigation of Copper–Carbon Nanotube Composites as Global VLSI Interconnects , 2017, IEEE Transactions on Nanotechnology.

[95]  G. Miano,et al.  Modeling, Fabrication, and Characterization of Large Carbon Nanotube Interconnects With Negative Temperature Coefficient of the Resistance , 2017, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[96]  C. Xu,et al.  Modeling, Analysis, and Design of Graphene Nano-Ribbon Interconnects , 2009, IEEE Transactions on Electron Devices.

[97]  H. Dai,et al.  Room-temperature all-semiconducting sub-10-nm graphene nanoribbon field-effect transistors. , 2008, Physical review letters.

[98]  Nobuyuki Yoshikawa,et al.  Superconducting digital electronics , 2001, Proceedings of the IEEE.

[99]  Yash Agrawal,et al.  An Efficient Crosstalk Model For Coupled Multiwalled Carbon Nanotube Interconnects , 2018, IEEE Transactions on Electromagnetic Compatibility.

[100]  Azad Naeemi,et al.  Cu/Low-$k$ Interconnect Technology Design and Benchmarking for Future Technology Nodes , 2013, IEEE Transactions on Electron Devices.

[101]  Ji Cao,et al.  Vertical and Lateral Copper Transport through Graphene Layers. , 2015, ACS nano.

[102]  High-Frequency Analysis of Cu-Carbon Nanotube Composite Through-Silicon Vias , 2016, IEEE Transactions on Nanotechnology.

[103]  A. Naeemi,et al.  Cu Interconnect Limitations and Opportunities for SWNT Interconnects at the End of the Roadmap , 2013, IEEE Transactions on Electron Devices.

[104]  Byoung Hun Lee,et al.  Effects of multi-layer graphene capping on Cu interconnects , 2013, Nanotechnology.

[105]  Qing Huo Liu,et al.  Electrothermal Characterization of Single-Walled Carbon Nanotube (SWCNT) Interconnect Arrays , 2009, IEEE Transactions on Nanotechnology.

[106]  H J Li,et al.  Multichannel ballistic transport in multiwall carbon nanotubes. , 2005, Physical review letters.