BNN An Ideal Architecture for Acceleration With Resistive in Memory Computation

Binary Neural Networks (BNN) have binarized (-1 and 1) weights and feature maps. Achieving smaller model sizes and computational simplicity, they are well suited for edge-AI systems with power and hardware constraints. Recently, memristive crossbar arrays have gained considerable attention from researchers to perform analog in-memory vector-matrix multiplications in machine learning accelerators, with low power and constant computational time. Crossbar arrays suffer from many non-ideal characteristics such as memristor device imperfections, weight noise, device drift, input/output noises, and DAC/ADC overhead. Thus, for analog AI acceleration to become viable, model architectures must be robust against these non-idealities. We propose that BNN's with their binarized weights, which are ideally mapped to fewer memristive devices with less electrical characteristic issues and higher tolerance to computational noise, are a promising architecture for analog computation. In this work, we examine the viability of deploying state of the art BNNs, with features such as real value residual connections and parametric activations with biases, to analog in-memory computational accelerators. Our simulations show that BNNs are significantly more robust to crossbar non-idealities than full-precision networks, require less chip area, and consume less power on memristive crossbar architectures.

[1]  N. Bagherzadeh,et al.  A Two-Stage Efficient 3-D CNN Framework for EEG Based Emotion Recognition , 2022, 2022 IEEE International Conference on Industrial Technology (ICIT).

[2]  M. Azghadi,et al.  Modeling and simulating in-memory memristive deep learning systems: An overview of current efforts , 2021, Array.

[3]  Kaoutar El Maghraoui,et al.  A Flexible and Fast PyTorch Toolkit for Simulating Training and Inference on Analog Crossbar Arrays , 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

[4]  Nicu Sebe,et al.  Binary Neural Networks: A Survey , 2020, Pattern Recognit..

[5]  Xiaochen Peng,et al.  DNN+NeuroSim V2.0: An End-to-End Benchmarking Framework for Compute-in-Memory Accelerators for On-Chip Training , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Kwang-Ting Cheng,et al.  ReActNet: Towards Precise Binary Neural Network with Generalized Activation Functions , 2020, ECCV.

[7]  Giovanna Turvani,et al.  Logic-in-Memory Computation: Is It Worth It? A Binary Neural Network Case Study , 2020, Journal of Low Power Electronics and Applications.

[8]  E. Eleftheriou,et al.  Mixed-Precision Deep Learning Based on Computational Memory , 2020, Frontiers in Neuroscience.

[9]  Ran Ginosar,et al.  Adaptive programming in multi-level cell ReRAM , 2019, Microelectron. J..

[10]  Faiq Khalid,et al.  Deep Learning for Edge Computing: Current Trends, Cross-Layer Optimizations, and Open Research Challenges , 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[11]  Geoffrey E. Hinton,et al.  When Does Label Smoothing Help? , 2019, NeurIPS.

[12]  Chia-Lin Yang,et al.  Sparse ReRAM Engine: Joint Exploration of Activation and Weight Sparsity in Compressed Neural Networks , 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

[13]  Jae-Joon Kim,et al.  Effect of Device Variation on Mapping Binary Neural Network to Memristor Crossbar Array , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[14]  Chih-Yuan Lu,et al.  Performance Impacts of Analog ReRAM Non-ideality on Neuromorphic Computing , 2019, IEEE Transactions on Electron Devices.

[15]  Douglas M. Bishop,et al.  ECRAM as Scalable Synaptic Cell for High-Speed, Low-Power Neuromorphic Computing , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[16]  Wei Liu,et al.  Bi-Real Net: Enhancing the Performance of 1-bit CNNs With Improved Representational Capability and Advanced Training Algorithm , 2018, ECCV.

[17]  M. López-Vallejo,et al.  Self-controlled multilevel writing architecture for fast training in neuromorphic RRAM applications , 2018, Nanotechnology.

[18]  Daniel Krebs,et al.  Collective Structural Relaxation in Phase‐Change Memory Devices , 2018, Advanced Electronic Materials.

[19]  Alex Pappachen James,et al.  Binary Weighted Memristive Analog Deep Neural Network for Near-Sensor Edge Processing , 2018, 2018 IEEE 18th International Conference on Nanotechnology (IEEE-NANO).

[20]  V. Narayanan,et al.  Signal and noise extraction from analog memory elements for neuromorphic computing , 2018, Nature Communications.

[21]  Sparsh Mittal,et al.  A Survey of ReRAM-Based Architectures for Processing-In-Memory and Neural Networks , 2018, Mach. Learn. Knowl. Extr..

[22]  Xiaochen Peng,et al.  XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Shuang Wu,et al.  Training and Inference with Integers in Deep Neural Networks , 2018, ICLR.

[24]  Meng-Fan Chang,et al.  A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[25]  Mark Sandler,et al.  MobileNetV2: Inverted Residuals and Linear Bottlenecks , 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition.

[26]  Hao Yu,et al.  An energy-efficient and high-throughput bitwise CNN on sneak-path-free digital ReRAM crossbar , 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[27]  Earl E. Swartzlander,et al.  Memcomputing (Memristor + Computing) in Intrinsic SiOx-Based Resistive Switching Memory: Arithmetic Operations for Logic Applications , 2017, IEEE Transactions on Electron Devices.

[28]  Yu Wang,et al.  PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[29]  Miao Hu,et al.  ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[30]  Gökmen Tayfun,et al.  Acceleration of Deep Neural Network Training with Resistive Cross-Point Devices: Design Considerations , 2016, Front. Neurosci..

[31]  Ali Farhadi,et al.  XNOR-Net: ImageNet Classification Using Binary Convolutional Neural Networks , 2016, ECCV.

[32]  Ran El-Yaniv,et al.  Binarized Neural Networks , 2016, NIPS.

[33]  Jian Sun,et al.  Deep Residual Learning for Image Recognition , 2015, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[34]  Yoshua Bengio,et al.  BinaryConnect: Training Deep Neural Networks with binary weights during propagations , 2015, NIPS.

[35]  Ee Wah Lim,et al.  Conduction Mechanism of Valence Change Resistive Switching Memory: A Survey , 2015 .

[36]  Jeffrey S. Vetter,et al.  A Survey of CPU-GPU Heterogeneous Computing Techniques , 2015, ACM Comput. Surv..

[37]  Carole-Jean Wu,et al.  Quantifying the energy cost of data movement for emerging smart phone workloads on mobile platforms , 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

[38]  Andrew Zisserman,et al.  Very Deep Convolutional Networks for Large-Scale Image Recognition , 2014, ICLR.

[39]  Qiang Chen,et al.  Network In Network , 2013, ICLR.

[40]  Cong Xu,et al.  Low power multi-level-cell resistive memory design with incomplete data mapping , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[41]  Fei-Fei Li,et al.  ImageNet: A large-scale hierarchical image database , 2009, 2009 IEEE Conference on Computer Vision and Pattern Recognition.

[42]  Zhewei Jiang,et al.  An Energy-Efficient and High Throughput in-Memory Computing Bit-Cell With Excellent Robustness Under Process Variations for Binary Neural Network , 2020, IEEE Access.

[43]  Guigang Zhang,et al.  Deep Learning , 2016, Int. J. Semantic Comput..