A detailed and flexible cycle-accurate Network-on-Chip simulator
暂无分享,去创建一个
Nan Jiang | George Michelogiannakis | William J. Dally | John Kim | Brian Towles | David E. Shaw | James D. Balfour | Daniel U. Becker | D. E. Shaw | W. Dally | B. Towles | John Kim | J. Balfour | George Michelogiannakis | Nan Jiang | Brian Towles
[1] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[2] Deborah K. Weisser,et al. Age-based packet arbitration in large-radix k-ary n-cubes , 2007, Proceedings of the 2007 ACM/IEEE Conference on Supercomputing (SC '07).
[3] Thomas E. Anderson,et al. High-speed switch scheduling for local-area networks , 1993, TOCS.
[4] Nick McKeown,et al. The iSLIP scheduling algorithm for input-queued switches , 1999, TNET.
[5] John Kim,et al. Probabilistic Distance-Based Arbitration: Providing Equality of Service for Many-Core CMPs , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[6] Valentin Puente,et al. SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems , 2002, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing.
[7] Chita R. Das,et al. ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[8] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[9] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[10] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[11] Akif Ali,et al. Near-optimal worst-case throughput routing for two-dimensional mesh networks , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[12] Jin Liu,et al. A DAMQ shared buffer scheme for network-on-chip , 2007 .
[13] Jaehyuk Huh,et al. On-Chip Network Evaluation Framework , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[14] Yuval Tamir,et al. Symmetric Crossbar Arbiters for VLSI Communication Switches , 1993, IEEE Trans. Parallel Distributed Syst..
[15] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[16] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[17] William J. Dally,et al. Cost-Efficient Dragonfly Topology for Large-Scale Systems , 2009, IEEE Micro.
[18] Krste Asanovic,et al. Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks , 2008, 2008 International Symposium on Computer Architecture.
[19] Henry Wong,et al. Analyzing CUDA workloads using a detailed GPU simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[20] Nan Jiang,et al. Indirect adaptive routing on large scale interconnection networks , 2009, ISCA '09.
[21] A. Kumary,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007 .
[22] Nan Jiang,et al. Adaptive Backpressure: Efficient buffer management for on-chip networks , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[23] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[24] Li-Shiuan Peh,et al. Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.
[25] Niraj K. Jha,et al. GARNET: A detailed on-chip network model inside a full-system simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[26] George Michelogiannakis,et al. Elastic-buffer flow control for on-chip networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[27] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[28] Niraj K. Jha,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007, ICCD.
[29] William J. Dally,et al. Allocator implementations for network-on-chip routers , 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.
[30] Luca Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.