Reversible statistical max/min operation: Concept and applications to timing

The increasing significance of variability in modern sub-micron manufacturing process has led to the development and use of statistical techniques for chip timing analysis and optimization. Statistical timing involves fundamental operations like statistical-add, sub, max and min to propagate timing information (modeled as random variables with known probability distributions) through a timing graph model of a chip design. Although incremental timing during optimization updates timing information of only certain parts of the timing-graph, lack of established reversible statistical max or min techniques forces more-than-required computations. This paper describes the concept of reversible statistical max and min for correlated Gaussian random variables, and suggests potential applications to statistical timing. A formal proof is presented to establish the uniqueness of reversible statistical max. Experimental results show run-time savings when using the presented technique in the context of chipslack computation during incremental timing optimization.

[1]  Jinjun Xiong,et al.  Transistor sizing of custom high-performance digital circuits with parametric yield considerations , 2010, Design Automation Conference.

[2]  C. E. Clark The Greatest of a Finite Set of Random Variables , 1961 .

[3]  Hai Zhou,et al.  Advances in Computation of the Maximum of a Set of Gaussian Random Variables , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Vladimir Zolotov,et al.  Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[5]  Jinjun Xiong,et al.  Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Hongliang Chang,et al.  Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[7]  Jinjun Xiong,et al.  Incremental Criticality and Yield Gradients , 2008, 2008 Design, Automation and Test in Europe.

[8]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  David Blaauw,et al.  Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.

[10]  Lawrence T. Pileggi,et al.  STAC: statistical timing analysis with correlation , 2004, Proceedings. 41st Design Automation Conference, 2004..

[11]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  P. Ghanta,et al.  A Framework for Statistical Timing Analysis using Non-Linear Delay and Slew Models , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[13]  Yu Hen Hu,et al.  Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.