Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip
暂无分享,去创建一个
Giuseppe Piro | Gennaro Boggia | Eduard Alarcón | Josep Solé-Pareta | Albert Mestres | Sergi Abadal | Luigi Alfredo Grieco | S. Abadal | G. Boggia | L. Grieco | J. Solé-Pareta | E. Alarcón | Albert Mestres | G. Piro
[1] Andres Kwasinski,et al. NoC architectures with adaptive Code Division Multiple Access based wireless links , 2012, 2012 IEEE International Symposium on Circuits and Systems.
[2] Albert Cabellos-Aparicio,et al. Radiation Characteristics of Tunable Graphennas in the Terahertz Band , 2012 .
[3] Luca P. Carloni,et al. PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[4] Eduardo José Alarcón Cot,et al. N3Sim: A simulation framework for diffusion-based molecular communication , 2011 .
[5] Hyungjun Kim,et al. Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[6] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[7] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[8] I. Akyildiz,et al. Graphene-based nano-antennas for electromagnetic nanocommunications in the terahertz band , 2010, Proceedings of the Fourth European Conference on Antennas and Propagation.
[9] Natalie D. Enright Jerger,et al. Supporting efficient collective communication in NoCs , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[10] Bijan Raahemi,et al. Multi-hop communications on wireless network-on-chip using optimized phased-array antennas , 2013, Comput. Electr. Eng..
[11] Jason Cong,et al. A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.
[12] H.C. Freitas,et al. Evaluating On-Chip Interconnection Architectures for Parallel Processing , 2008, 2008 11th IEEE International Conference on Computational Science and Engineering - Workshops.
[13] Mauro Femminella,et al. A simulation tool for nanoscale biological networks , 2012, Nano Commun. Networks.
[14] José Duato,et al. Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[15] Ian F. Akyildiz,et al. Channel Modeling and Capacity Analysis for Electromagnetic Wireless Nanonetworks in the Terahertz Band , 2011, IEEE Transactions on Wireless Communications.
[16] Giuseppe Piro,et al. Simulating Wireless Nano Sensor Networks in the NS-3 Platform , 2013, 2013 27th International Conference on Advanced Information Networking and Applications Workshops.
[17] Christof Teuscher,et al. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.
[18] Kevin Skadron,et al. Scaling with Design Constraints: Predicting the Future of Big Chips , 2011, IEEE Micro.
[19] David A. Patterson,et al. Computer Architecture, Fifth Edition: A Quantitative Approach , 2011 .
[20] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[21] Manfred Glesner,et al. Multicast Parallel Pipeline Router Architecture for Network-on-Chip , 2008, 2008 Design, Automation and Test in Europe.
[22] J. S. Gomez-Diaz,et al. Analysis and design of terahertz antennas based on plasmonic resonant graphene sheets , 2012 .
[23] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[24] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[25] David Matolak,et al. Wireless networks-on-chips: architecture, wireless channel, and devices , 2012, IEEE Wireless Communications.
[26] Yi Wang,et al. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.
[27] Partha Pratim Pande,et al. Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.
[28] Giuseppe Piro,et al. Nano-Sim: simulating electromagnetic-based nanonetworks in the network simulator 3 , 2013, SimuTools.
[29] Özgür B. Akan,et al. NanoNS: A nanoscale network simulator framework for molecular communications , 2010, Nano Commun. Networks.
[30] Eduard Alarcón,et al. Graphene-enabled wireless communication for massive multicore architectures , 2013, IEEE Communications Magazine.
[31] William J. Dally,et al. Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.