Differential current-sensing for on-chip interconnects
暂无分享,去创建一个
[1] Yehea I. Ismail,et al. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[2] Wayne P. Burleson,et al. Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters , 2001, ISPD '01.
[3] Wayne P. Burleson,et al. Repeater insertion in deep sub-micron CMOS: ramp-based analytical model and placement sensitivity analysis , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).
[4] George Varghese,et al. Low-swing on-chip signaling techniques: effectiveness and robustness , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[5] S. Dutta,et al. A comprehensive delay model for CMOS inverters , 1995 .
[6] Kurt Keutzer,et al. Getting to the bottom of deep submicron II: a global wiring paradigm , 1999, ISPD '99.
[7] William J. Bowhill,et al. Techniques for Driving Interconnect , 2001 .
[8] Richard C. Jaeger,et al. A high-speed sensing scheme for 1T dynamic RAMs utilizing the clamped bit-line sense amplifier , 1992 .
[9] Eby G. Friedman,et al. Optimum wire sizing of RLC interconnect with repeaters , 2003, GLSVLSI '03.
[10] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[11] Rizwan Bashirullah,et al. Delay and power model for current-mode signaling in deep submicron global interconnects , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[12] Wayne Burleson,et al. Current sensing techniques for global interconnects in very deep submicron (VDSM) CMOS , 2001, Proceedings IEEE Computer Society Workshop on VLSI 2001. Emerging Technologies for VLSI Systems.
[13] Wayne Burleson,et al. Current-sensing for crossbars , 2001, Proceedings 14th Annual IEEE International ASIC/SOC Conference (IEEE Cat. No.01TH8558).
[14] Wayne P. Burleson,et al. Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[15] K. Ishibashi,et al. A 6-ns 4-mb Cmos Sram With Offset-voltage-insensitive Current Sense Amplifiers , 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.
[16] William J. Dally,et al. Digital systems engineering , 1998 .
[17] Yvon Savaria,et al. Parallel regeneration of interconnections in VLSI & ULSI circuits , 1993, 1993 IEEE International Symposium on Circuits and Systems.
[18] Eby G. Friedman,et al. Transparent repeaters , 2000, ACM Great Lakes Symposium on VLSI.
[19] M. Bohr. Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.
[20] E. Friedman,et al. Figures of merit to characterize the importance of on-chip inductance , 1998, DAC.
[21] No Author Given. Current-Sensing for Global Interconnects , Secondary Design , 2001 .
[22] Kaustav Banerjee,et al. Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.
[23] Eby G. Friedman,et al. Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
[24] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[25] Jason Cong,et al. Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[26] Jason Cong,et al. Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .
[27] M. Yamashina,et al. A current direction sense technique for multiport SRAM's , 1996 .
[28] A. El Gamal,et al. Regenerative feedback repeaters for programmable interconnections , 1995 .
[29] H. Shimizu,et al. A 1.4 ns access 700 MHz 288 kb SRAM macro with expandable architecture , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).
[30] Shannon V. Morton,et al. On-chip inductance issues in multiconductor systems , 1999, DAC '99.
[31] Evert Seevinck,et al. Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM's , 1991 .
[32] James D. Meindl,et al. A physical model for the transient response of capacitively loaded distributed rlc interconnects , 2002, DAC '02.
[33] Masakazu Yamashina,et al. Capacitance coupling immune, transient sensitive accelerator for resistive interconnect signals of subquarter micron ULSI , 1996 .
[34] Masayoshi Sasaki,et al. A 9-ns 16-Mb CMOS SRAM with offset-compensated current sense amplifier , 1993 .
[35] Dennis Sylvester,et al. Transition aware global signaling (TAGS) , 2002, Proceedings International Symposium on Quality Electronic Design.
[36] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[37] William J. Bowhill,et al. Design of High-Performance Microprocessor Circuits , 2001 .
[38] Mattan Kamon,et al. FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .