Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited)
暂无分享,去创建一个
Jörg Henkel | Sheldon X.-D. Tan | Taeyoung Kim | Zeyu Sun | Hussam Amrouch | Chase Cook | H. Amrouch | J. Henkel | Taeyoung Kim | Zeyu Sun | Chase Cook | S. Tan
[1] Sheldon X.-D. Tan,et al. Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] S. Tan,et al. Electromigration induced stress evolution under alternate current and pulse current loads , 2015 .
[3] J. Black,et al. Electromigration—A brief survey and some recent results , 1969 .
[4] Xin Zhao,et al. Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[5] Sheldon X.-D. Tan,et al. Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[6] E. Cartier,et al. Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scaling , 2011, 2011 International Electron Devices Meeting.
[7] Sheldon X.-D. Tan,et al. Analytical Modeling of Electromigration Failure for VLSI Interconnect Tree Considering Temperature and Segment Length Effects , 2017, IEEE Transactions on Device and Materials Reliability.
[8] Siegfried Selberherr,et al. Physically based models of electromigration: From Black's equation to modern TCAD models , 2010, Microelectron. Reliab..
[9] Sheldon X.-D. Tan,et al. Electromigration recovery modeling and analysis under time-dependent current and temperature stressing , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[10] Sheldon X.-D. Tan,et al. Voltage-based electromigration immortality check for general multi-branch interconnects , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[11] J. Lloyd. New models for interconnect failure in advanced IC technology , 2008, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits.
[12] Sheldon X.-D. Tan,et al. Physics-based electromigration assessment for power grid networks , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[13] Yici Cai,et al. Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[14] Jian-Jia Chen,et al. Thermal-aware lifetime reliability in multicore systems , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[15] Sheldon X.-D. Tan,et al. Learning-based dynamic reliability management for dark silicon processor considering EM effects , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[16] A. Brand,et al. HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[17] Jörg Henkel,et al. Aging-aware voltage scaling , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[18] Valeriy Sukharev,et al. A model for electromigration-induced degradation mechanisms in dual-inlaid copper interconnects: Effect of microstructure , 2007 .
[19] Jörg Henkel,et al. Impact of BTI on dynamic and static power: From the physical to circuit level , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).
[20] Bashir M. Al-Hashimi,et al. Aging Benefits in Nanometer CMOS Designs , 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.
[21] Jörg Henkel,et al. Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[22] Milton Ohring,et al. Reliability and Failure of Electronic Materials and Devices, Second Edition , 1998 .
[23] Guido Groeseneken,et al. A comprehensive study of channel hot-carrier degradation in short channel MOSFETs with high-k dielectrics , 2013 .
[24] Sheldon X.-D. Tan,et al. IR-drop based electromigration assessment: Parametric failure chip-scale analysis , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[25] Martin Gall,et al. Large-scale statistical analysis of early failures in Cu electromigration, Part II: Scaling behavior and short-length effects , 2010 .
[26] J. J. Clement,et al. Modeling electromigration‐induced stress evolution in confined metal lines , 1995 .
[27] J. J. Clement,et al. Numerical investigations of the electromigration boundary value problem , 1992 .
[28] Clemens J. M. Lasance. Thermally driven reliability issues in microelectronic systems: status-quo and challenges , 2003, Microelectron. Reliab..
[29] Robert Hecht-Nielsen,et al. Theory of the backpropagation neural network , 1989, International 1989 Joint Conference on Neural Networks.
[30] Jörg Henkel,et al. Interdependencies of Degradation Effects and Their Impact on Computing , 2017, IEEE Design & Test.
[31] Ronald G. Filippi,et al. The effect of current density and stripe length on resistance saturation during electromigration testing , 1996 .
[32] Muhammad A. Alam,et al. ECE 695A Reliability Physics of Nanotransistors , 2013 .
[33] Jiwoo Pak,et al. Electromigration study for multi-scale power/ground vias in TSV-based 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[34] D. Restaino,et al. Effects of overlayers on electromigration reliability improvement for Cu/low K interconnects , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[35] Junjie Wu,et al. BigHouse: A simulation infrastructure for data center systems , 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.
[36] Jiwoo Pak,et al. Modeling of electromigration in through-silicon-via based 3D IC , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
[37] Farid N. Najm,et al. Power Grid Electromigration Checking Using Physics-Based Models , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[38] Yuan Cheng,et al. Leveraging recovery effect to reduce electromigration degradation in power/ground TSV , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[39] Miodrag Potkonjak,et al. Aging-based leakage energy reduction in FPGAs , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.
[40] Jörg Henkel,et al. Towards aging-induced approximations , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[41] Ronald G. Filippi,et al. Implications of a threshold failure time and void nucleation on electromigration of copper interconnects , 2010 .
[42] Jörg Henkel,et al. Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[43] Zung-Sun Choi. Reliability of copper interconnects in integrated circuits , 2007 .
[44] Sheldon X.-D. Tan,et al. Invited: Cross-layer modeling and optimization for electromigration induced reliability , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[45] I. Blech. Electromigration in thin aluminum films on titanium nitride , 1976 .
[46] Donald E. Troxel,et al. Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallizations , 2007, Microelectron. J..
[47] M. Nafría,et al. Probabilistic defect occupancy model for NBTI , 2011, 2011 International Reliability Physics Symposium.
[48] A. S. Oates,et al. The scaling of electromigration lifetimes , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[49] Cher Ming Tan,et al. Very high current density package level electromigration test for copper interconnects , 2008 .
[50] Karthikeyan Sankaralingam,et al. Dark silicon and the end of multicore scaling , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[51] Ravi Pramod Vedula,et al. First-principles investigation of low energy E' center precursors in amorphous silica. , 2011, Physical review letters.
[52] M. Alam,et al. A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.
[53] Kevin Skadron,et al. Interconnect lifetime prediction under dynamic stress for reliability-aware design , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[54] David A. Patterson,et al. The Berkeley Out-of-Order Machine (BOOM): An Industry-Competitive, Synthesizable, Parameterized RISC-V Processor , 2015 .
[55] Sheldon X.-D. Tan,et al. Dynamic reliability management for near-threshold dark silicon processors , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[56] Sudhakar Yalamanchili,et al. Architectural Reliability: Lifetime Reliability Characterization and Management ofMany-Core Processors , 2015, IEEE Computer Architecture Letters.
[57] Jiwoo Pak,et al. Electromigration modeling and full-chip reliability analysis for BEOL interconnect in TSV-based 3D ICs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[58] James R. Lloyd,et al. A model for conductor failure considering diffusion concurrently with electromigration resulting in a current exponent of 2 , 1986 .
[59] Sheldon X.-D. Tan,et al. Finite difference method for electromigration analysis of multi-branch interconnects , 2016, 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).
[60] M. Korhonen,et al. Stress evolution due to electromigration in confined metal lines , 1993 .
[61] Valeriy Sukharev,et al. Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[62] Martin Gall,et al. Large-scale statistical analysis of early failures in Cu electromigration, Part I: Dominating mechanisms , 2010 .
[63] Sheldon X.-D. Tan,et al. Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[64] Anthony S. Oates,et al. Strategies to Ensure Electromigration Reliability of Cu/Low-k Interconnects at 10 nm , 2015 .
[65] Lijuan Zhang. Effects of scaling and grain structure on electromigration reliability of Cu interconnects , 2010 .
[66] Bharadwaj Veeravalli,et al. Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).