Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking

Integrated circuits (ICs) are the foundation of all computing systems. They comprise high-value hardware intellectual property (IP) that are at risk of piracy, reverse-engineering, and modifications while making their way through the geographically-distributed IC supply chain. On the frontier of hardware security are various design-for-trust techniques that claim to protect designs from untrusted entities across the design flow. Logic locking is one technique that promises protection from the gamut of threats in IC manufacturing. In this work, we perform a critical review of logic locking techniques in the literature, and expose several shortcomings. Taking inspiration from other cybersecurity competitions, we devise a community-led benchmarking exercise to address the evaluation deficiencies. In reflecting on this process, we shed new light on deficiencies in evaluation of logic locking and reveal important future directions. The lessons learned can guide future endeavors in other areas of hardware security.

[1]  Alex Orailoglu,et al.  Piercing Logic Locking Keys through Redundancy Identification , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Jeyavijayan Rajendran,et al.  Removal Attacks on Logic Locking and Camouflaging Techniques , 2020, IEEE Transactions on Emerging Topics in Computing.

[3]  Jeyavijayan Rajendran,et al.  Security analysis of integrated circuit camouflaging , 2013, CCS.

[4]  Pierluigi Nuzzo,et al.  Security-driven metrics and models for efficient evaluation of logic encryption schemes , 2019, MEMOCODE.

[5]  Jeyavijayan Rajendran,et al.  Trustworthy Hardware Design: Combinational Logic Locking Techniques , 2020 .

[6]  Giorgio Di Natale,et al.  A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans , 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).

[7]  John P. Hayes,et al.  Unveiling the ISCAS-85 Benchmarks: A Case Study in Reverse Engineering , 1999, IEEE Des. Test Comput..

[8]  Jarrod A. Roy,et al.  Ending Piracy of Integrated Circuits , 2010, Computer.

[9]  Ozgur Sinanoglu,et al.  Truly Stripping Functionality for Logic Locking: A Fault-Based Perspective , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Sharad Malik,et al.  Reverse engineering digital circuits using functional analysis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[12]  Jeyavijayan Rajendran,et al.  Keynote: A Disquisition on Logic Locking , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Waleed Khalil,et al.  Defense-in-Depth: A Recipe for Logic Locking to Prevail , 2019, Integr..

[14]  Jeyavijayan Rajendran,et al.  Security analysis of logic obfuscation , 2012, DAC Design Automation Conference 2012.

[15]  Deepak Sirone,et al.  Functional Analysis Attacks on Logic Locking , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Jarrod A. Roy,et al.  EPIC: Ending Piracy of Integrated Circuits , 2008, 2008 Design, Automation and Test in Europe.

[17]  Christof Paar,et al.  The End of Logic Locking? A Critical View on the Security of Logic Locking , 2019, IACR Cryptol. ePrint Arch..

[18]  David A. Patterson,et al.  A new golden age for computer architecture , 2019, Commun. ACM.

[19]  Christophe Clavier,et al.  Practical improvements of side-channel attacks on AES: feedback from the 2nd DPA contest , 2014, Journal of Cryptographic Engineering.

[20]  Rudolf Schlangen,et al.  Backside E-Beam Probing on Nano scale devices , 2007, 2007 IEEE International Test Conference.

[21]  Domenic Forte,et al.  Novel Bypass Attack and BDD-based Tradeoff Analysis Against All Known Logic Locking Attacks , 2017, CHES.

[22]  Hai Zhou,et al.  SAT-based bit-flipping attack on logic encryptions , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[23]  Farinaz Koushanfar,et al.  Active Hardware Metering for Intellectual Property Protection and Security , 2007, USENIX Security Symposium.

[24]  R. D. Blanton,et al.  Characterization of Locked Sequential Circuits via ATPG , 2019, 2019 IEEE International Test Conference in Asia (ITC-Asia).

[25]  Hai Zhou,et al.  Double DIP: Re-Evaluating Security of Logic Encryption Algorithms , 2017, ACM Great Lakes Symposium on VLSI.

[26]  Ozgur Sinanoglu,et al.  SARLock: SAT attack resistant logic locking , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[27]  Christian Boit,et al.  Ultra high precision circuit diagnosis through seebeck generation and charge monitoring , 2013, Proceedings of the 20th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA).

[28]  Ozgur Sinanoglu,et al.  Towards provably-secure performance locking , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[29]  Peter A. Beerel,et al.  System-Level Framework for Logic Obfuscation with Quantified Metrics for Evaluation , 2019, 2019 IEEE Cybersecurity Development (SecDev).

[30]  Jeyavijayan Rajendran,et al.  Security analysis of Anti-SAT , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[31]  Jeyavijayan Rajendran,et al.  Logic encryption: A fault analysis perspective , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[32]  Brendan Dolan-Gavitt,et al.  The Rode0day to Less-Buggy Programs , 2019, IEEE Security & Privacy.

[33]  Franco Stellari,et al.  Revealing SRAM memory content using spontaneous photon emission , 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

[34]  Pinchen Cui,et al.  TGA: An Oracle-less and Topology-Guided Attack on Logic Locking , 2019, ASHES@CCS.

[35]  M. Tehranipoor,et al.  Hardware Trojans: Lessons Learned after One Decade of Research , 2016, TODE.

[36]  G. Kino,et al.  Solid immersion microscope , 1990 .

[37]  Swarup Bhunia,et al.  HARPOON: An Obfuscation-Based SoC Design Methodology for Hardware Protection , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[38]  Sayak Ray,et al.  Evaluating the security of logic encryption algorithms , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[39]  Meng Li,et al.  AppSAT: Approximately deobfuscating integrated circuits , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[40]  Ankur Srivastava,et al.  Anti-SAT: Mitigating SAT Attack on Logic Locking , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[41]  Dick James,et al.  The state-of-the-art in semiconductor reverse engineering , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[42]  Mark Mohammad Tehranipoor,et al.  Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain , 2014, Proceedings of the IEEE.

[43]  Jeyavijayan Rajendran,et al.  HardFails: Insights into Software-Exploitable Hardware Bugs , 2019, USENIX Security Symposium.

[44]  P. Song,et al.  Near-infrared photon emission spectroscopy of a 45 nm SOI ring oscillator , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[45]  Ulrike Kindereit,et al.  Fundamentals and future applications of Laser Voltage Probing , 2014, 2014 IEEE International Reliability Physics Symposium.

[46]  Meng Li,et al.  Cyclic Obfuscation for Creating SAT-Unresolvable Circuits , 2017, ACM Great Lakes Symposium on VLSI.

[47]  Jeyavijayan Rajendran,et al.  Provably-Secure Logic Locking: From Theory To Practice , 2017, CCS.

[48]  Hai Zhou,et al.  CycSAT: SAT-based attack on cyclic logic encryptions , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[49]  Farinaz Koushanfar,et al.  Provably Secure Active IC Metering Techniques for Piracy Avoidance and Digital Rights Management , 2012, IEEE Transactions on Information Forensics and Security.

[50]  Ramesh Karri,et al.  A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.

[51]  Ozgur Sinanoglu,et al.  ATPG-based cost-effective, secure logic locking , 2018, 2018 IEEE 36th VLSI Test Symposium (VTS).

[52]  Siddharth Garg,et al.  Securing Computer Hardware Using 3D Integrated Circuit (IC) Technology and Split Manufacturing for Obfuscation , 2013, USENIX Security Symposium.

[53]  Jeyavijayan Rajendran,et al.  What to Lock?: Functional and Parametric Locking , 2017, ACM Great Lakes Symposium on VLSI.

[54]  Franco Stellari,et al.  MARVEL — Malicious alteration recognition and verification by emission of light , 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.

[55]  Luis Basto,et al.  First Results of ITC'99 Benchmark Circuits , 2000, IEEE Des. Test Comput..

[56]  Hai Zhou,et al.  Cyclic locking and memristor-based obfuscation against CycSAT and inside foundry attacks , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[57]  Gi-Joon Nam,et al.  The ISPD2005 placement contest and benchmark suite , 2005, ISPD '05.

[58]  Jeyavijayan Rajendran,et al.  A Brief History of Logic Locking , 2020 .

[59]  Miodrag Potkonjak,et al.  Watermarking techniques for intellectual property protection , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[60]  Franco Stellari,et al.  An advanced optical diagnostic technique of IBM z990 eServer microprocessor , 2005, IEEE International Conference on Test, 2005..

[61]  Andrew B. Kahng,et al.  INVITED: Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).