Energy-efficient wireless interconnection framework for multichip systems with in-package memory stacks
暂无分享,去创建一个
[1] Mario Badr,et al. SynFull: Synthetic traffic models capturing cache coherent behaviour , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[2] Natalie D. Enright Jerger,et al. Interconnect-Memory Challenges for Multi-chip, Silicon Interposer Systems , 2015, MEMSYS.
[3] Joonyoung Kim,et al. HBM: Memory solution for bandwidth-hungry processors , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).
[4] Amlan Ganguly,et al. A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems , 2017, IEEE Transactions on Computers.
[5] Natalie D. Enright Jerger,et al. NoC Architectures for Silicon Interposer Systems: Why Pay for more Wires when you Can Get them (from your interposer) for Free? , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[6] Amlan Ganguly,et al. An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links , 2015, NOCS.
[7] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[8] Radu Marculescu,et al. Low-latency wireless 3D NoCs via randomized shortcut chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[9] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[10] Sujay Deb,et al. Energy-efficient wireless network-on-chip architecture with log-periodic on-chip antennas , 2014, GLSVLSI '14.
[11] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.
[12] Sujay Deb,et al. An energy efficient wireless Network-on-Chip using power-gated transceivers , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).
[13] James E. Jaussi,et al. A Scalable 5–15 Gbps, 14–75 mW Low-Power I/O Transceiver in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[14] Bok Eng Cheah,et al. Signaling analysis of inter-chip I/O package routing for Multi-Chip Package , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).
[15] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[16] Yu Su,et al. Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.
[17] Wei Zhang,et al. UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] David W. Matolak,et al. A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnects , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[20] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[21] Dan Zhao,et al. Cost-optimal design of wireless pre-bonding test framework , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).
[22] Tianzhou Chen,et al. An Exploration on Quantity and Layout of Wireless Nodes for Hybrid Wireless Network-on-Chip , 2014, 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS).