TRO: An On-Chip Ring Oscillator-Based GHz Transient IR-Drop Monitor

With silicon technology further scaling, the switching activity is getting more intense in modern designs. The large switching activities together with GHz operation frequency can greatly affect the power integrity by generating IR-drop noises. Excessive IR-drop can cause functional failures such as timing failure, abnormal reset and SRAM flipping. Hence, IR-drop needs to be monitored in-field. However, directly measuring transient IR-drop waveform usually involves high design or equipment cost. This paper presents a low-cost on-chip GHz ring oscillator-based transient IR-drop monitor (TRO). TRO is composed of all-digital elements, and can be easily integrated into existing IC design flow with negligible overhead. Different from traditional transient IR-drop monitors, TRO measures IR-drop waveform width and average in-field, while recovers IR-drop peak, and reconstructs the transient noise waveform during data analysis or customer return, which eliminates the need for custom circuits or high frequency sampling clock. Simulation results show that TRO is sensitive to IR-drop with peak and width larger than 100 mV and 1.0 ns, which is suitable for GHz IC monitoring. The IR-drop noise width detection resolution can reach 0.125 ns and higher under the help of the proposed edge detector, with noise peak and width measurement error rate less than 6.8% and 9.0%, for 97% of the Monte Carlo samples considering process variations. According to the results and analyses, TRO is also able to trigger quick adaptation within one clock cycle.

[1]  Kaushik Roy,et al.  Estimation of switching noise on power supply lines in deep sub-micron CMOS circuits , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[2]  William V. Huott,et al.  On-chip Timing Uncertainty Measurements on IBM Microprocessors , 2008, 2008 IEEE International Test Conference.

[3]  Mark Mohammad Tehranipoor,et al.  Aging Adaption in Integrated Circuits Using a Novel Built-In Sensor , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Mark Mohammad Tehranipoor,et al.  An All Digital Distributed Sensor Network Based Framework for Continuous Noise Monitoring and Timing Failure Analysis in SoCs , 2014, 2014 IEEE 23rd Asian Test Symposium.

[5]  Kunihiro Asada,et al.  On-chip voltage noise monitor for measuring voltage bounce in power supply lines using a digital tester , 2000, ICMTS 2000. Proceedings of the 2000 International Conference on Microelectronic Test Structures (Cat. No.00CH37095).

[6]  Donglin Su,et al.  On-chip EMI monitoring for integrated circuits of 55nm and below technologies , 2014, 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS).

[7]  S. Naffziger,et al.  Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[8]  Xijiang Lin Power Supply Droop and Its Impacts on Structural At-Speed Testing , 2012, 2012 IEEE 21st Asian Test Symposium.

[9]  Alfred L. Crouch,et al.  A Production IR-Drop Screen on a Chip , 2007, IEEE Design & Test of Computers.

[10]  T. Rahal-Arabi,et al.  Design and validation of the Pentium/sup /spl reg// III and Pentium/sup /spl reg// 4 processors power delivery , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[11]  Niraj K. Jha,et al.  FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  J. S. Neely,et al.  Interconnect and circuit modeling techniques for full-chip power supply noise analysis , 1998 .

[13]  Yukihito Oowaki,et al.  Noise suppression scheme for gigabit-scale and gigabyte/s data-rate LSI's , 1998 .

[14]  C.H. Kim,et al.  On-Chip Supply Noise Regulation Using a Low-Power Digital Switched Decoupling Capacitor Circuit , 2009, IEEE Journal of Solid-State Circuits.

[15]  R. Perdriau,et al.  EMC Assessment at Chip and PCB Level: Use of the ICEM Model for Jitter Analysis in an Integrated PLL , 2007, IEEE Transactions on Electromagnetic Compatibility.

[16]  T. Rahal-Arabi,et al.  On-die droop detector for analog sensing of power supply noise , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[17]  Pak Kwong Chan,et al.  A Compact CMOS Ring Oscillator with Temperature and Supply Compensation for Sensor Applications , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[18]  Gordon Russell,et al.  A High Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[19]  M.B. Ketchen,et al.  Ring oscillators for CMOS process tuning and variability control , 2006, IEEE Transactions on Semiconductor Manufacturing.

[20]  Qadeer Ahmad Khan,et al.  Techniques for on-chip process voltage and temperature detection and compensation , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[21]  Mark Mohammad Tehranipoor,et al.  Pattern generation and estimation for power supply noise analysis , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[22]  Chee-Yee Chung,et al.  Extended adaptive voltage positioning (EAVP) [power delivery network design] , 2000, IEEE 9th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.00TH8524).

[23]  M. Nagata,et al.  A built-in technique for probing power supply and ground noise distribution within large-scale digital integrated circuits , 2005, IEEE Journal of Solid-State Circuits.

[24]  M. Nagata,et al.  Measurements of Digital Signal Delay Variation Due to Dynamic Power Supply Noise , 2005, 2005 IEEE Asian Solid-State Circuits Conference.

[25]  Meeta Sharma Gupta,et al.  Tribeca: Design for PVT variations with local recovery and fine-grained adaptation , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[26]  Saurabh Dighe,et al.  Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[27]  Luca Benini,et al.  Hierarchically Focused Guardbanding: An adaptive approach to mitigate PVT variations and aging , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[28]  Mark Mohammad Tehranipoor,et al.  Design of a Network of Digital Sensor Macros for Extracting Power Supply Noise Profile in SoCs , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Resve A. Saleh,et al.  Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Wei Zhang,et al.  Sensing Voltage Transients Using Built-in Voltage Sensor , 2012 .

[31]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[32]  Mark Mohammad Tehranipoor,et al.  A Novel Peak Power Supply Noise Measurement and Adaptation System for Integrated Circuits , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  K. Baskaran,et al.  EMC analysis of PCB using ICEM model , 2010, 2010 INTERNATIONAL CONFERENCE ON COMMUNICATION CONTROL AND COMPUTING TECHNOLOGIES.

[34]  Doug Josephson,et al.  Voltage transient detection and induction for debug and test , 2009, 2009 International Test Conference.