A Near-Threshold Voltage Oriented Digital Cell Library for High-Energy Efficiency and Optimized Performance in 65nm CMOS Process
暂无分享,去创建一个
[1] Massimo Alioto,et al. Analysis and Characterization of Variability in Subthreshold Source-Coupled Logic Circuits , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[2] H. Ishiuchi,et al. Forward Body Biasing as a Bulk-Si CMOS Technology Scaling Strategy , 2008, IEEE Transactions on Electron Devices.
[3] A. Wang,et al. Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.
[4] Hidetoshi Onodera,et al. Fully digital on-chip memory using minimum height standard cells for near-threshold voltage computing , 2016, 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).
[5] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[6] Anantha Chandrakasan,et al. Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.
[7] David Blaauw,et al. 8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[8] David Blaauw,et al. Energy efficient near-threshold chip multi-processing , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[9] Mark Anders,et al. Near-threshold voltage (NTV) design — Opportunities and challenges , 2012, DAC Design Automation Conference 2012.
[10] René Schüffny,et al. A power management architecture for fast per-core DVFS in heterogeneous MPSoCs , 2012, 2012 IEEE International Symposium on Circuits and Systems.
[11] Alireza Shafaei,et al. 5nm FinFET Standard Cell Library Optimization and Circuit Synthesis in Near-and Super-Threshold Voltage Regimes , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.
[12] Vinayak Honkote,et al. An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
[13] Youchang Kim,et al. A 0.5 V 54 $\mu\text{W}$ Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.
[14] David Tester,et al. Well tapping methodologies in power-gating design , 2011, 2011 IEEE International SOC Conference.
[15] Mehdi B. Tahoori,et al. Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods , 2017, Integr..
[16] Jun Zhou,et al. A 40 nm Dual-Width Standard Cell Library for Near/Sub-Threshold Operation , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.
[17] C. Pacha,et al. Efficiency of body biasing in 90-nm CMOS for low-power digital circuits , 2004, IEEE Journal of Solid-State Circuits.
[18] Pranay Prabhat,et al. 8.1 An 80nW retention 11.7pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[19] A. Chandrakasan,et al. A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.
[20] Nicolas Planes,et al. Ultra-wide body-bias range LDPC decoder in 28nm UTBB FDSOI technology , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[21] Naveen Verma,et al. Technologies for Ultradynamic Voltage Scaling , 2010, Proceedings of the IEEE.
[22] Kaushik Roy,et al. Device optimization for ultra-low power digital sub-threshold operation , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[23] Yiannos Manoli,et al. A 62 mV 0.13 $\mu$ m CMOS Standard-Cell-Based Design Technique Using Schmitt-Trigger Logic , 2011, IEEE Journal of Solid-State Circuits.
[24] Eric Shiu,et al. System challenges and hardware requirements for future consumer devices: From wearable to ChromeBooks and devices in-between , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[25] Daeyeon Kim,et al. The Phoenix Processor: A 30pW platform for sensor applications , 2008, 2008 IEEE Symposium on VLSI Circuits.
[26] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[27] John Keane,et al. Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[28] B. M. Gordon,et al. Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.
[29] David Harris,et al. CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .
[30] J. Tschanz,et al. Ultra-low voltage circuits and processor in 180nm to 90nm technologies with a swapped-body biasing technique , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).