Wire delay is not a problem for SMT (in the near future)
暂无分享,去创建一个
[1] Yale N. Patt,et al. On pipelining dynamic instruction scheduling logic , 2000, MICRO 33.
[2] Rajiv V. Joshi,et al. A 2-ns cycle, 3.8-ns access 512-kb CMOS ECL SRAM with a fully pipelined architecture , 1991 .
[3] Todd M. Austin,et al. Efficient dynamic scheduling through tag elimination , 2002, ISCA.
[4] Steven K. Reinhardt,et al. A scalable instruction queue design using dependence chains , 2002, ISCA.
[5] Vivek De,et al. Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[6] M.A. Horowitz,et al. Speed and power scaling of SRAM's , 2000, IEEE Journal of Solid-State Circuits.
[7] Dean M. Tullsen,et al. Handling long-latency loads in a simultaneous multithreading processor , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[8] Gurindar S. Sohi,et al. High-bandwidth data memory systems for superscalar processors , 1991, ASPLOS IV.
[9] Joel S. Emer,et al. Loose loops sink chips , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[10] Dean M. Tullsen,et al. Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).
[11] K. Ishibashi,et al. A 2 ns access, 285 MHz, two-port cache macro using double global bit-line pairs , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[12] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[13] Eric Sprangle,et al. Increasing processor performance by implementing deeper pipelines , 2002, ISCA.
[14] Vikas Agarwal,et al. Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[15] M. Horowitz,et al. Managing wire scaling: a circuit perspective , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).
[16] David J. Sager,et al. The microarchitecture of the Pentium 4 processor , 2001 .
[17] Kaushik Roy,et al. Exploring high bandwidth pipelined cache architecture for scaled technology , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[18] Sumio Tanaka,et al. A 9-ns HIT-delay 32-kbyte cache macro for high-speed RISC , 1990 .
[19] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[20] Kaushik Roy,et al. Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology , 2003, Embedded Software for SoC.
[21] Yale N. Patt,et al. Using internal redundant representations and limited bypass to support pipelined adders and register files , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[22] Kunle Olukotun,et al. Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).
[23] G. Varghese,et al. A pipelined memory architecture for high throughput network processors , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[24] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[25] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[26] Dean M. Tullsen,et al. Simultaneous multithreading: Maximizing on-chip parallelism , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[27] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, ISCA.
[28] Norman P. Jouppi,et al. Cacti 3. 0: an integrated cache timing, power, and area model , 2001 .