Characterizing fault propagation in safety-critical processor designs

Achieving reduced time-to-market in modern electronic designs targeting safety critical applications is becoming very challenging, as these designs need to go through a certification step that introduces a non-negligible overhead in the verification and validation process. To cope with this challenge, safety-critical systems industry is demanding new tools and methodologies allowing quick and cost-effective means for robustness verification. Microarchitectural simulators have been widely used to test reliability properties in different domains but their use in the process of robustness verification remains yet to be validated against other accepted methods such as RTL or gate-level simulation. In this paper we perform fault injections in an RTL model of a processor to characterize fault propagation. The results and conclusions of this characterization will serve to devise to what extent fault injection methodologies for robustness verification using microarchitectural simulators can be employed.

[1]  Sara Blanc,et al.  Enhancement of Fault Injection Techniques Based on the Modification of VHDL Code , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Jacob A. Abraham,et al.  Quantitative evaluation of soft error injection techniques for robust system design , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[3]  Gerard J. M. Smit,et al.  A mathematical approach towards hardware design , 2010, Dynamically Reconfigurable Architectures.

[4]  Jaume Abella,et al.  Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verification , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Rolf Drechsler,et al.  Safety evaluation of automotive electronics using Virtual Prototypes: State of the art and research challenges , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Johan Karlsson,et al.  Fault injection into VHDL models: the MEFISTO tool , 1994 .

[7]  Jaume Abella,et al.  LiVe: Timely error detection in light-lockstep safety critical systems , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Sarita V. Adve,et al.  Accurate microarchitecture-level fault modeling for studying hardware faults , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[9]  Anne Marsden,et al.  International Organization for Standardization , 2014 .

[10]  Jason A. Poovey Characterization of the EEMBC Benchmark Suite , 2007 .

[11]  José M. García,et al.  Modeling the impact of permanent faults in caches , 2013, ACM Trans. Archit. Code Optim..

[12]  Muhammad Shafique,et al.  Reliable software for unreliable hardware: Embedded code generation aiming at reliability , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[13]  Joel Emer,et al.  A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[14]  Ben H. H. Juurlink,et al.  Protective redundancy overhead reduction using instruction vulnerability factor , 2010, Conf. Computing Frontiers.

[15]  Francisco J. Cazorla,et al.  RVC-based time-predictable faulty caches for safety-critical systems , 2011, 2011 IEEE 17th International On-Line Testing Symposium.

[16]  Roger Johansson,et al.  A Study of the Impact of Bit-Flip Errors on Programs Compiled with Different Optimization Levels , 2014, 2014 Tenth European Dependable Computing Conference.

[17]  Thomas M. Conte,et al.  A Benchmark Characterization of the EEMBC Benchmark Suite , 2009, IEEE Micro.

[18]  Jan Gustafsson,et al.  The Mälardalen WCET Benchmarks: Past, Present And Future , 2010, WCET.