A Low-Power Microcontroller in a 40-nm CMOS Using Charge Recycling

A 40-nm microcontroller featuring voltage stacked memory and logic is presented. This involved connecting the power domains of the memory and logic in series, such that the ground of one power domain is connected to the positive supply rail of the other. In this paper, an ARM Cortex-M0+ and its peripherals are powered from 0 V to <inline-formula> <tex-math notation="LaTeX">$V_{{\mathrm{DD}}}$ </tex-math></inline-formula>, while its 4-kB ROM and the 16-kB SRAM are powered from <inline-formula> <tex-math notation="LaTeX">$V_{{\mathrm{DD}}}$ </tex-math></inline-formula> to 2 <inline-formula> <tex-math notation="LaTeX">$V_{{\mathrm{DD}}}$ </tex-math></inline-formula>. Since the memory and logic will, in general, draw different supply currents, the midrail <inline-formula> <tex-math notation="LaTeX">$V_{{\mathrm{DD}}}$ </tex-math></inline-formula> is provided by an on-chip switched capacitor voltage regulator (SCVR). To allow a direct comparison of voltage stacking with a conventional single supply, it can be turned off by configuring the SCVR to power both the memory and logic from 0 V and <inline-formula> <tex-math notation="LaTeX">$V_{{\mathrm{DD}}}$ </tex-math></inline-formula>. Turning on voltage stacking results in 96% power conversion efficiency, while the active converter area is reduced by 2.6<inline-formula> <tex-math notation="LaTeX">$\times $ </tex-math></inline-formula>. Despite the use of a smaller SCVR, the voltage stacking reduces the supply noise by 3.4 dB and the output voltage drops from 58 to 36 mV.

[1]  Philip T. Krein,et al.  A 0.79 pJ/K-Gate, 83% Efficient Unified Core and Voltage Regulator Architecture for Sub/Near-Threshold Operation in 130 nm CMOS , 2014, IEEE Journal of Solid-State Circuits.

[2]  Yong Liu,et al.  A 0.1pJ/b 5-to-10Gb/s charge-recycling stacked low-power I/O for on-chip signaling in 45nm CMOS SOI , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[3]  Patrick P. Mercier,et al.  12.9 A flying-domain DC-DC converter powering a Cortex-M0 processor with 90.8% efficiency , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[4]  Zhenyu Qi,et al.  Stacking SRAM banks for ultra low power standby mode operation , 2010, Design Automation Conference.

[5]  Gu-Yeon Wei,et al.  A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS , 2012, IEEE Journal of Solid-State Circuits.

[6]  Hoi Lee,et al.  Design of On-Chip Gate Drivers With Power-Efficient High-Speed Level Shifting and Dynamic Timing Control for High-Voltage Synchronous Switching Power Converters , 2015, IEEE Journal of Solid-State Circuits.

[7]  R. Dennard,et al.  A fully-integrated switched-capacitor 2∶1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2 , 2010, 2010 Symposium on VLSI Circuits.

[8]  Elad Alon,et al.  A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28nm FDSOI , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[9]  Gu-Yeon Wei,et al.  A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[10]  S. Rajapandian,et al.  High-voltage power delivery through charge recycling , 2006, IEEE Journal of Solid-State Circuits.

[11]  Xi Chen,et al.  8.6 A 6.5-to-23.3fJ/b/mm balanced charge-recycling bus in 16nm FinFET CMOS at 1.7-to-2.6Gb/s/wire with clock forwarding and low-crosstalk contraflow wiring , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[12]  S. Rajapandian,et al.  High Voltage Tolerant Linear Regulator With Fast Digital Control for Biasing of Integrated DC-DC Converters , 2007, IEEE Journal of Solid-State Circuits.

[13]  Kofi A. A. Makinwa,et al.  A microcontroller with 96% power-conversion efficiency using stacked voltage domains , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

[14]  Kazutami Arimoto,et al.  Low-Power On-Chip Charge-Recycling DC-DC Conversion Circuit and System , 2013, IEEE Journal of Solid-State Circuits.

[15]  C. Schaef,et al.  A multi-level ladder converter supporting vertically-stacked digital voltage domains , 2013, 2013 Twenty-Eighth Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

[16]  Kaladhar Radhakrishnan,et al.  Package Inductors for Intel Fully Integrated Voltage Regulators , 2016, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[17]  S. Rajapandian,et al.  Implicit DC-DC downconversion through charge-recycling , 2005, IEEE Journal of Solid-State Circuits.

[18]  K. Inagaki,et al.  Stacked-Chip Implementation of On-Chip Buck Converter for Distributed Power Supply System in SiPs , 2007, IEEE Journal of Solid-State Circuits.

[19]  Kevin Skadron,et al.  Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[20]  M. Steyaert,et al.  A 1.65W fully integrated 90nm Bulk CMOS Intrinsic Charge Recycling capacitive DC-DC converter: Design & techniques for high power density , 2011, 2011 IEEE Energy Conversion Congress and Exposition.

[21]  Mircea R. Stan,et al.  Breaking the 3D IC power delivery wall , 2012, 2012 Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers (ASILOMAR).

[22]  Jose Renau,et al.  Managing Mismatches in Voltage Stacking with CoreUnfolding , 2016, ACM Trans. Archit. Code Optim..

[23]  J. W. Kolar,et al.  A 4.6W/mm2 power density 86% efficiency on-chip switched capacitor DC-DC converter in 32 nm SOI CMOS , 2013, 2013 Twenty-Eighth Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

[24]  Torsten Lehmann,et al.  Nanosecond Delay Floating High Voltage Level Shifters in a 0.35 $\mu$m HV-CMOS Technology , 2011, IEEE Journal of Solid-State Circuits.

[25]  R. Pilawa-Podgurski,et al.  Re-thinking data center power delivery: Regulating series-connected voltage domains in software , 2013, 2013 IEEE Power and Energy Conference at Illinois (PECI).

[26]  P. T. Krein,et al.  Overcoming the power wall: Connecting voltage domains in series , 2011, 2011 International Conference on Energy Aware Computing.

[27]  Ajay Kapoor,et al.  Lower power by voltage stacking: A fine-grained system design approach , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).