Fast Analytic Placement using Minimum Cost Flow

Many current integrated circuits designs, such as those released for the ISPD2005 (Nam et al., 2005) placement contest, are extremely large and can contain a great deal of white space. These new placement problems are challenging; analytic placers perform well, but can suffer from high run times. In this paper, we present a new placement tool called Vaastu. Our approach combines continuous and discrete optimization techniques. We utilize network flows, which incorporate the more realistic half-perimeter wire length objective, to facilitate module spreading in conjunction with a log-sum-exponential function based analytic approach. Our approach obtains wire length results that are competitive with the best known results, but with much lower run times.

[1]  Majid Sarrafzadeh,et al.  Dragon2006: blockage-aware congestion-controlling mixed-size placer , 2006, ISPD '06.

[2]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[3]  Jarrod A. Roy,et al.  Satisfying whitespace requirements in top-down placement , 2006, ISPD '06.

[4]  Bo Hu,et al.  Multilevel fixed-point-addition-based VLSI placement , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Frank M. Johannes,et al.  Kraftwerk: a versatile placement approach , 2005, ISPD '05.

[6]  Andrew B. Kahng,et al.  Architecture and details of a high quality, large-scale analytical placer , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[7]  Gi-Joon Nam,et al.  The ISPD2005 placement contest and benchmark suite , 2005, ISPD '05.

[8]  Ulrich Brenner,et al.  Faster and better global placement by a new transportation algorithm , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[9]  Yao-Wen Chang,et al.  NTUplace2: a hybrid placer using partitioning and analytical techniques , 2006, ISPD '06.

[10]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Yao-Wen Chang,et al.  NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs , 2005, ISPD '05.

[12]  Jens Vygen,et al.  Almost optimum placement legalization by minimum cost flow and dynamic programming , 2004, ISPD '04.

[13]  Chris C. N. Chu,et al.  An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[14]  Andrew B. Kahng,et al.  A fast hierarchical quadratic placement algorithm , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Cheng-Kok Koh,et al.  Mixed block placement via fractional cut recursive bisection , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Konrad Doll,et al.  Iterative placement improvement by network flow methods , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Jorge Nocedal,et al.  Large Scale Unconstrained Optimization , 1997 .

[18]  Jorge Nocedal,et al.  Algorithm 778: L-BFGS-B: Fortran subroutines for large-scale bound-constrained optimization , 1997, TOMS.

[19]  Joseph R. Shinnerl,et al.  mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.

[20]  Cheng-Kok Koh,et al.  Floorplan management: incremental placement for gate sizing and buffer insertion , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..