Aging-aware voltage scaling
暂无分享,去创建一个
Jörg Henkel | Victor M. van Santen | Hussam Amrouch | Narendra Parihar | Souvik Mahapatra | H. Amrouch | J. Henkel | S. Mahapatra | N. Parihar
[1] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[2] Puneet Gupta,et al. On the efficacy of NBTI mitigation techniques , 2011, 2011 Design, Automation & Test in Europe.
[3] Kevin Skadron,et al. HotSpot: a dynamic compact thermal model at the processor-architecture level , 2003, Microelectron. J..
[4] Heba Khdr,et al. New trends in dark silicon , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Ali M. Niknejad,et al. BSIM — Industry standard compact MOSFET models , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).
[6] James Charles,et al. Evaluation of the Intel® Core™ i7 Turbo Boost feature , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).
[7] T. Nigam,et al. Challenges in the characterization and modeling of BTI induced variability in metal gate / High-k CMOS technologies , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[8] Ulf Schlichtmann,et al. A compact model for NBTI degradation and recovery under use-profile variations and its application to aging analysis of digital integrated circuits , 2014, Microelectron. Reliab..
[9] M. Alam,et al. A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.
[10] Marco Platzner,et al. Design and architectures for dependable embedded systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[11] Mark Mohammad Tehranipoor,et al. Efficient selection and analysis of critical-reliability paths and gates , 2012, GLSVLSI '12.
[12] Bishop Brock,et al. Active management of timing guardband to save energy in POWER7 , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[14] Yu Cao,et al. New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.
[15] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[16] Jörg Henkel,et al. Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Fabrice Paillet,et al. FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.
[18] Chris H. Kim,et al. Estimation of instantaneous frequency fluctuation in a fast DVFS environment using an empirical BTI stress-relaxation model , 2014, 2014 IEEE International Reliability Physics Symposium.
[19] Jung Ho Ahn,et al. The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing , 2013, TACO.
[20] Souvik Mahapatra,et al. Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC stress , 2015, 2015 IEEE International Reliability Physics Symposium.
[21] Xiaofei Wang,et al. A revolving reference odometer circuit for BTI-induced frequency fluctuation measurements under fast DVFS transients , 2014, 2015 IEEE International Reliability Physics Symposium.
[22] Xiaojun Li,et al. Compact Modeling of MOSFET Wearout Mechanisms for Circuit-Reliability Simulation , 2008, IEEE Transactions on Device and Materials Reliability.
[23] Heba Khdr,et al. Towards performance and reliability-efficient computing in the dark silicon era , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).