A Cross-Layer Multicore Architecture to Tradeoff Program Accuracy and Resilience Overheads

To protect multicores from soft-error perturbations, resiliency schemes have been developed with high coverage but high power/performance overheads ($\sim$ 2$\times$ ). We observe that not all soft...