Carbon Nanotube circuits in the presence of carbon nanotube density variations
暂无分享,去创建一个
[1] H. Wong,et al. Integrated wafer-scale growth and transfer of directional Carbon Nanotubes and misaligned-Carbon-Nanotube-immune logic structures , 2008, 2008 Symposium on VLSI Technology.
[2] Sachin S. Sapatnekar,et al. Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.
[3] H. Dai,et al. Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.
[4] H.-S. Philip Wong,et al. Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] H. Wong,et al. A Circuit-Compatible SPICE model for Enhancement Mode Carbon Nanotube Field Effect Transistors , 2006, 2006 International Conference on Simulation of Semiconductor Processes and Devices.
[6] K. Banerjee,et al. Accurate Intrinsic Gate Capacitance Model for Carbon Nanotube-Array Based FETs Considering Screening Effect , 2008, IEEE Electron Device Letters.
[7] Anantha Chandrakasan,et al. Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[9] H.-S. Philip Wong,et al. Digital VLSI logic technology using Carbon Nanotube FETs: Frequently Asked Questions , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[10] Giovanni De Micheli,et al. Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[11] Nishant Patil,et al. Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.
[12] Hai Wei,et al. Imperfection-immune VLSI logic circuits using Carbon Nanotube Field Effect Transistors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[13] Hongjie Dai,et al. Carbon nanotubes: synthesis, integration, and properties. , 2002, Accounts of chemical research.
[14] P. Avouris,et al. Engineering Carbon Nanotubes and Nanotube Circuits Using Electrical Breakdown , 2001, Science.
[15] H.-S. Philip Wong,et al. First Demonstration of AC Gain From a Single-walled Carbon Nanotube Common-Source Amplifier , 2006, 2006 International Electron Devices Meeting.
[16] J. T. Wulu,et al. Regression analysis of count data , 2002 .
[17] H. Wong,et al. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.
[18] J. Lohstroh,et al. Worst-case static noise margin criteria for logic circuits and their mathematical equivalence , 1983, IEEE Journal of Solid-State Circuits.
[19] H.-S. Philip Wong,et al. Carbon Nanotube Transistor Circuits: Circuit-Level Performance Benchmarking and Design Options for Living with Imperfections , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[20] Y. Massoud,et al. On the Impact of Process Variations for Carbon Nanotube Bundles for VLSI Interconnect , 2007, IEEE Transactions on Electron Devices.
[21] Stephen A. McGuire,et al. Introductory Statistics , 2007, Technometrics.
[22] J. Rogers,et al. High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. , 2007, Nature nanotechnology.
[23] John A. Rogers,et al. Improved Synthesis of Aligned Arrays of Single-Walled Carbon Nanotubes and Their Implementation in Thin Film Type Transistors† , 2007 .