Overall Framework for Exploration

This chapter presents one of the core contributions of the book which also forms one of the main stepping stone for the rest of the book. It presents the compilation, simulation and energy estimation framework for modeling the large architecture design space of single core platforms for low power embedded systems. For multi-core platforms, the intra-core space can be reused but the inter-core data memory and communication network organisation should be added. The framework has been made to be consistent and complete with respect to the architecture space commonly used in embedded systems including possible extensions needed for future evolution. The chapter also presents some exploration results which are obtained using the framework. A few counter-intuitive trends which emerge from an exploration in this framework are also included.

[1]  L. Benini,et al.  A Power Modeling and Estimation Framework for VLIW-based Embedded Systems , 2001 .

[2]  Francky Catthoor,et al.  Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design , 1998 .

[3]  Francky Catthoor,et al.  Unified low-power design flow for data-dominated multi-media and telecom applications , 2000, Springer US.

[4]  Liesbet Van der Perre,et al.  Design of a Low Power Pre-synchronization ASIP for Multimode SDR Terminals , 2007, SAMOS.

[5]  W. Dehaene,et al.  A Low-Power Embedded SRAM for Wireless Applications , 2006, IEEE Journal of Solid-State Circuits.

[6]  Francky Catthoor,et al.  Systematic architecture exploration based on optimistic cycle estimation for low energy embedded processors , 2009, 2009 Asia and South Pacific Design Automation Conference.

[7]  Todd M. Austin,et al.  SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.

[8]  Vincenzo Catania,et al.  EPIC-Explorer: A Parameterized VLIW-based Platform Framework for Design Space Exploration , 2003, ESTImedia.

[9]  Rudy Lauwereins,et al.  Instruction buffering exploration for low energy VLIWs with instruction clusters , 2004 .

[10]  Peter Marwedel,et al.  Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[11]  David Parello,et al.  Facilitating the search for compositions of program transformations , 2005, ICS '05.

[12]  William J. Dally,et al.  Register organization for media processing , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[13]  Henk Corporaal,et al.  Clustered loop buffer organization for low energy VLIW embedded processors , 2005, IEEE Transactions on Computers.

[14]  Sharad Malik,et al.  Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[15]  Anantha Chandrakasan,et al.  JouleTrack: a web based tool for software energy profiling , 2001, DAC '01.

[16]  Ricardo E. Gonzalez,et al.  Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.

[17]  David Parello,et al.  Semi-Automatic Composition of Loop Transformations for Deep Parallelism and Memory Hierarchies , 2006, International Journal of Parallel Programming.

[18]  Erik Brockmeyer,et al.  Data Access and Storage Management for Embedded Programmable Processors , 2002, Springer US.

[19]  Yuki Kobayashi,et al.  Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors , 2007, TODE.

[20]  Mahmut T. Kandemir,et al.  The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.

[21]  Anshul Kumar,et al.  Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures , 2007, TODE.

[22]  Gürhan Küçük,et al.  AccuPower: an accurate power estimation tool for superscalar microprocessors , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[23]  Thomas Noll,et al.  Implementation of scalable power and area efficient high-throughput Viterbi decoders , 2002 .

[24]  小林 悠記 Low power design method for embedded systems using VLIW processor , 2007 .

[25]  Min Li,et al.  Locality optimization in a compiler for wireless applications , 2009, Des. Autom. Embed. Syst..

[26]  William J. Dally,et al.  Stream Processors: Progammability and Efficiency , 2004, ACM Queue.

[27]  Naehyuck Chang,et al.  Cycle-accurate energy consumption measurement and analysis: case study of ARM7TDMI , 2000, ISLPED '00.

[28]  Frank Vahid,et al.  Exploiting Fixed Programs in Embedded Systems: A Loop Cache Example , 2002, IEEE Computer Architecture Letters.

[29]  Holger Blume,et al.  Power estimation on functional level for programmable processors , 2005 .

[30]  W. Dehaene,et al.  A 3.6pJ/access 480MHz, 128Kbit on-Chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[31]  Francky Catthoor,et al.  Custom Memory Management Methodology , 1998, Springer US.