Performance-driven placement of multi-million-gate circuits

We survey recent development in placement technology for VLSI layout. In the very deep submicron era, we have to deal with two issues: huge design complexity and wiring-delay dominance of circuit performance. Ever increasing functionality demand and exponentially growing technology capacity together make layout of multi-million-gate circuits everyday work. Because of high-performance requirement and time-to-market pressure, we need effective layout methodologies and tools to help timing-closure. The most natural approach to deal with high complexity is hierarchical placement based on the "divide-and-conquer" paradigm. We will describe how to take advantage of the circuit hierarchy information either passed down from the high-level description or extracted from the netlist structure. Placement will largely determine the circuit performance because it determines the wiring delay, which dominates the gate delay portion in the overall path delay. It must satisfy timing constraints on large number of paths imposed by the front-end synthesis tool; otherwise, we will run into the timing-closure problem. We will present techniques for conveying the path timing constraints to the placement process as well as ECO operations such as resynthesis, logic restructuring/replication, and retiming. This tutorial will conclude with a list of possible directions for future research.

[1]  Jin Xu,et al.  Sequence-pair approach for rectilinear module placement , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Chung-Kuan Cheng,et al.  Ratio cut partitioning for hierarchical designs , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Wayne Wei-Ming Dai,et al.  Hierarchical placement and floorplanning in BEAR , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Frank M. Johannes,et al.  Timing driven placement in interaction with netlist transformations , 1997, ISPD '97.

[5]  Massoud Pedram,et al.  Timing-driven placement based on partitioning with dynamic cut-net control , 2000, DAC.

[6]  Sadiq M. Sait,et al.  VLSI Physical Design Automation - Theory and Practice , 1995, Lecture Notes Series on Computing.

[7]  Pinaki Mazumder,et al.  Macro-cell and module placement by genetic adaptive search with bitmap-represented chromosome , 1991, Integr..

[8]  Ireneusz Karkowski,et al.  Retiming Synchronous Circuitry with Imprecise Delays , 1995, 32nd Design Automation Conference.

[9]  Chung-Kuan Cheng,et al.  An efficient multilevel placement technique using hierarchical partitioning , 1992 .

[10]  Kenneth M. Hall An r-Dimensional Quadratic Placement Algorithm , 1970 .

[11]  Youn-Long Lin,et al.  Integrating logic retiming and register placement , 1998, ICCAD '98.

[12]  Youn-Long Lin,et al.  A performance-driven standard-cell placer based on a modified force-directed algorithm , 2001, ISPD '01.

[13]  C. D. Gelatt,et al.  Optimization by Simulated Annealing , 1983, Science.

[14]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[15]  J. Kruskal On the shortest spanning subtree of a graph and the traveling salesman problem , 1956 .

[16]  Youn-Long Lin,et al.  A row-based cell placement method that utilizes circuit structural properties , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Youn-Long Lin,et al.  A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[19]  Melvin A. Breuer,et al.  A class of min-cut placement algorithms , 1988, DAC '77.

[20]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[22]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[23]  Neil R. Quinn The placement problem as viewed from the physics of classical mechanics , 1975, DAC '75.

[24]  Sabih H. Gerez,et al.  Algorithms for VLSI design automation , 1998 .

[25]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[26]  Brian W. Kernighan,et al.  A Procedure for Placement of Standard-Cell VLSI Circuits , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Wayne Wei-Ming Dai,et al.  Arbitrary rectilinear block packing based on sequence pair , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[28]  Massoud Pedram,et al.  Layout driven logic restructuring/decomposition , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[29]  Massoud Pedram,et al.  Alleviating routing congestion by combining logic resynthesis and linear placement , 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.

[30]  Wayne Wei-Ming Dai,et al.  General floorplanning with L-shaped, T-shaped and soft blocks based on bounded slicing grid structure , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

[31]  Kamal Chaudhary,et al.  RITUAL: a performance driven placement algorithm , 1992 .

[32]  Massoud Pedram,et al.  Timing-driven placement for general cell layout , 1990, IEEE International Symposium on Circuits and Systems.

[33]  Akhilesh Tyagi,et al.  Minimizing interconnect energy through integrated low-power placement and combinational logic synthesis , 1997, ISPD '97.

[34]  Bryan D. Ackland,et al.  Physical Design Automation of Vlsi Systems , 1988 .

[35]  Laura A. Sanchis,et al.  Multiple-Way Network Partitioning , 1989, IEEE Trans. Computers.

[36]  Pinaki Mazumder,et al.  A genetic approach to standard cell placement using meta-genetic parameter optimization , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[37]  Brian W. Kernighan,et al.  An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..