Cross-Level Compositional Reliability Analysis for Embedded Systems
暂无分享,去创建一个
[1] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[2] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[3] Petru Eles,et al. Synthesis of Fault-Tolerant Schedules with Transparency/Performance Trade-offs for Distributed Embedded Systems , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[4] Li Shang,et al. Application-Specific MPSoC Reliability Optimization , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] Mahmut T. Kandemir,et al. Reliability-centric high-level synthesis , 2005, Design, Automation and Test in Europe.
[6] Martin Lukasiewycz,et al. Opt4J: a modular framework for meta-heuristic optimization , 2011, GECCO '11.
[7] Mahmut T. Kandemir,et al. Reliability-aware Co-synthesis for Embedded Systems , 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..
[8] Michael Glaß,et al. Design space exploration of reliable networked embedded systems , 2007, J. Syst. Archit..
[9] Oliver Bringmann,et al. ESL power analysis of embedded processors for temperature and reliability estimations , 2009, CODES+ISSS '09.
[10] Ying Zhang,et al. Energy-aware deterministic fault tolerance in distributed real-time embedded systems , 2004, Proceedings. 41st Design Automation Conference, 2004..
[11] P. Ajayan,et al. Reliability and current carrying capacity of carbon nanotubes , 2001 .
[12] George L. Schnable,et al. CMOS integrated circuit reliability , 1981 .
[13] Michael J. Wirthlin,et al. The reliability of FPGA circuit designs in the presence of radiation induced configuration upsets , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[14] Sorin A. Huss,et al. Specification and Design Considerations for Reliable Embedded Systems , 2008, 2008 Design, Automation and Test in Europe.
[15] W. Hunter,et al. AC electromigration characterization and modeling of multilayered interconnects , 1993, 31st Annual Proceedings Reliability Physics 1993.
[16] Li Shang,et al. Reliable multiprocessor system-on-chip synthesis , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[17] Petru Eles,et al. Synthesis of Fault-Tolerant Embedded Systems , 2008, 2008 Design, Automation and Test in Europe.
[18] James H. Stathis,et al. Reliability limits for the gate insulator in CMOS technology , 2002, IBM J. Res. Dev..
[19] Ralf H. Reussner,et al. Reliability prediction for component-based software architectures , 2003, J. Syst. Softw..
[20] Jinuk Luke Shin,et al. A Power-Efficient High-Throughput 32-Thread SPARC Processor , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[21] Li Shang,et al. System-level reliability modeling for MPSoCs , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[22] Martin Lukasiewycz,et al. Symbolic system level reliability analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).