Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore

Nonvolatile SRAM (nvSRAM) has emerged as a promising approach to reducing the standby energy consumption by storing the state into an in situ nonvolatile memory element and shutting down the power supply. Existing nvSRAM solutions based on a nonvolatile backup in magnetic tunnel junction and ReRAM, however, are costly in backup and restore energy due to static current. This cost results in a long break-even time (BET) when compared with a lowered voltage standby volatile SRAM. This brief proposes an nvSRAM based on ferroelectric FETs (FeFETs) that are capable of fully avoiding such static current. A simple differential backup and restore circuitry is proposed, achieving sub-fJ/cell total energy per backup and restore operation at the 10-nm node. This leads to hundreds of times BET improvement over existing ReRAM nvSRAM solutions. This nvSRAM also indicates the future FeFET design trends for such memory-logic synergy.

[1]  L. You,et al.  Negative capacitance in a ferroelectric capacitor. , 2014, Nature materials.

[2]  Fabrizio Lombardi,et al.  Design of a Nonvolatile 7T1R SRAM Cell for Instant-on Operation , 2014, IEEE Transactions on Nanotechnology.

[3]  Chi H. Lee,et al.  Ultrafast polarization switching in thin-film ferroelectrics , 2004 .

[4]  M. H. Lee,et al.  Steep Slope and Near Non-Hysteresis of FETs With Antiferroelectric-Like HfZrO for Low-Power Electronics , 2015, IEEE Electron Device Letters.

[5]  C. Hu,et al.  Ferroelectric negative capacitance MOSFET: Capacitance tuning & antiferroelectric operation , 2011, 2011 International Electron Devices Meeting.

[6]  C. Shin,et al.  Negative Capacitance Field Effect Transistor With Hysteresis-Free Sub-60-mV/Decade Switching , 2016, IEEE Electron Device Letters.

[7]  Yuan Xie,et al.  Emerging Memory Technologies: Design, Architecture, and Applications , 2013 .

[8]  Meng-Fan Chang,et al.  RRAM-based 7T1R nonvolatile SRAM with 2x reduction in store energy and 94x reduction in restore energy for frequent-off instant-on applications , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[9]  Dmitri E. Nikonov,et al.  Overview of Beyond-CMOS Devices and a Uniform Methodology for Their Benchmarking , 2013, Proceedings of the IEEE.

[10]  Narayanan Vijaykrishnan,et al.  Nonvolatile memory design based on ferroelectric FETs , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[11]  S. Datta,et al.  Physics-Based Circuit-Compatible SPICE Model for Ferroelectric Transistors , 2016, IEEE Electron Device Letters.

[12]  Asif Islam Khan,et al.  Negative Capacitance in Short-Channel FinFETs Externally Connected to an Epitaxial Ferroelectric Capacitor , 2016, IEEE Electron Device Letters.

[13]  Narayanan Vijaykrishnan,et al.  Ferroelectric Transistor based Non-Volatile Flip-Flop , 2016, ISLPED.

[14]  G. Huang,et al.  Heterogeneously integrated sub-40nm low-power epi-like Ge/Si monolithic 3D-IC with stacked SiGeC ambient light harvester , 2014, 2014 IEEE International Electron Devices Meeting.

[15]  Meng-Fan Chang,et al.  Ambient energy harvesting nonvolatile processors: From circuit to system , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  H. Ohno,et al.  A 1 Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell With 32 b Fine-Grained Power Gating Scheme , 2013, IEEE Journal of Solid-State Circuits.

[17]  Frederick T. Chen,et al.  Evidence and solution of over-RESET problem for HfOX based resistive memory with sub-ns switching speed and high endurance , 2010, 2010 International Electron Devices Meeting.

[18]  Narayanan Vijaykrishnan,et al.  Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[19]  Yusuke Shuto,et al.  Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[20]  Meng-Fan Chang,et al.  19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[21]  Chenming Hu,et al.  Sub-60mV-swing negative-capacitance FinFET without hysteresis , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

[22]  Tony F. Wu,et al.  Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs , 2014, 2014 IEEE International Electron Devices Meeting.

[23]  Narayanan Vijaykrishnan,et al.  Architecture exploration for ambient energy harvesting nonvolatile processors , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[24]  Meng-Fan Chang,et al.  A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications , 2010, 2010 Symposium on VLSI Circuits.

[25]  Narayanan Vijaykrishnan,et al.  Rf-powered systems using steep-slope devices , 2014, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS).