Low-power architectural design methodologies

In recent years, power consumption has become a critical design concern for many VLSI systems. Nowhere is this more true than for portable, battery-operated applications, where power consumption has perhaps superceded speed and area as the overriding implementation constraint. This adds another degree of freedom--and complexity--to the design process and mandates the need for design techniques and CAD tools that address power, as well as area and speed. This thesis presents a methodology and a set of tools that support low-power system design. Low-power techniques at levels ranging from technology to architecture are presented and their relative merits are compared. Several case studies demonstrate that architecture and system-level optimizations offer the greatest opportunities for power reduction. A survey of existing power analysis tools, however, reveals a marked lack of power-conscious tools at these levels. Addressing this issue, a collection of techniques for modeling power at the register-transfer (RT) level of abstraction is described. These techniques model the impact of design complexity and signal activity on datapath, memory, control, and interconnect power consumption. Several VLSI design examples are used to verify the proposed tools, which exhibit near switch-level accuracy at RT-level speeds. Finally, an integrated design space exploration environment is described that spans several levels of abstraction and embodies many of the power optimization and analysis strategies presented in this thesis.

[1]  John P. Mello,et al.  Wireless mobile communications , 1993 .

[2]  J. Shott,et al.  A 200 mV self-testing encoder/decoder using Stanford ultra-low-power CMOS , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.

[3]  Theodore I. Kamins,et al.  Device Electronics for Integrated Circuits , 1977 .

[4]  Sung-Mo Kang Accurate simulation of power dissipation in VLSI circuits , 1986 .

[5]  Jacob Shekel Analysis of linear networks , 1957 .

[6]  Neil Weste,et al.  Principles of CMOS VLSI Design , 1985 .

[7]  E. Avenhaus On the design of digital filters with coefficients of limited word length , 1972 .

[8]  M. Potkonjak,et al.  Exploring the algorithmic design space using high level synthesis , 1993, Proceedings of IEEE Workshop on VLSI Signal Processing.

[9]  Christopher J. Terman Simulation tools for digital LSI design , 1983 .

[10]  Joos Vandewalle,et al.  Estimation of typical power of synchronous CMOS circuits using a hierarchy of simulators , 1993 .

[11]  P. M. Chau,et al.  A model for estimating power dissipation in a class of DSP VLSI chips , 1991 .

[12]  Miodrag Potkonjak,et al.  Optimizing power using transformations , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Enrico Macii,et al.  An Application of ADD-Based Timing Analysis to Combinational Low Power Re-Synthesis , 1994 .

[14]  Ulrich Jagau SIMCURRENT-an efficient program for the estimation of the current flow of complex CMOS circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[15]  Dhanistha Panyasak,et al.  Circuits , 1995, Annals of the New York Academy of Sciences.

[16]  Chi-Ying Tsui,et al.  Technology Decomposition and Mapping Targeting Low Power Dissipation , 1993, 30th ACM/IEEE Design Automation Conference.

[17]  Peter No,et al.  Digital Coding of Waveforms , 1986 .

[18]  S. Gupta Bibliography on the Multivariate Normal Integrals and Related Topics , 1963 .

[19]  Sharad Malik,et al.  Technology Mapping for Low Power , 1993, DAC 1993.

[20]  L. S. Nielsen,et al.  Low-power operation using self-timed circuits and adaptive scaling of the supply voltage , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[21]  Mark Horowitz,et al.  IRSIM: An Incremental MOS Switch-Level Simulator , 1989, 26th ACM/IEEE Design Automation Conference.

[22]  Y.-H. Sutu,et al.  The design of a 55SPECint92 RISC processor under 2W , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.

[23]  Anantha P. Chandrakasan,et al.  Technologies for personal communications , 1991, 1991 Symposium on VLSI Circuits.

[24]  R. Watts,et al.  Submicron integrated circuits , 1989 .

[25]  Allen M. Peterson,et al.  Energy considerations in multichip-module based multiprocessors , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[26]  Ibrahim N. Hajj,et al.  An extension of probabilistic simulation for reliability analysis of CMOS VLSI circuits , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[28]  Christer Svensson,et al.  Trading speed for low power by choice of supply and threshold voltages , 1993 .

[29]  Hendrikus J. M. Veendrick,et al.  Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .

[30]  Jan M. Rabaey,et al.  Power estimation for high level synthesis , 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.

[31]  K. Keutzer,et al.  On average power dissipation and random pattern testability of CMOS combinational logic networks , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[32]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[33]  Anantha P. Chandrakasan,et al.  Design of portable systems , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[34]  Hugo De Man,et al.  Global Communication and Memory Optimizing Transformations for Low Power Systems , 1994 .

[35]  Roy L. Russo,et al.  On a Pin Versus Block Relationship For Partitions of Logic Graphs , 1971, IEEE Transactions on Computers.

[36]  Michael Feuer Connectivity of Random Logic , 1982, IEEE Transactions on Computers.

[37]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[38]  Kurt Keutzer,et al.  Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[39]  Fadi J. Kurdahi,et al.  Techniques for area estimation of VLSI layouts , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[40]  Jan M. Rabaey,et al.  Low power design of memory intensive functions. Case study: vector quantization , 1994, Proceedings of 1994 IEEE Workshop on VLSI Signal Processing.

[41]  Robert W. Brodersen Anatomy of a Silicon Compiler , 1992 .

[42]  Robert K. Brayton,et al.  Decomposition of logic functions for minimum transition activity , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

[43]  Anantha P. Chandrakasan,et al.  A low power chipset for portable multimedia applications , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.

[44]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[45]  C.M. Huizer Power Dissipation Analysis of CMOS VLSI Circuits by means of Switch-Level Simulation , 1990, ESSCIRC '90: Sixteenth European Solid-State Circuits Conference.

[46]  B. Widrow,et al.  Adaptive noise cancelling: Principles and applications , 1975 .

[47]  William E. Donath,et al.  Placement and average interconnection lengths of computer logic , 1979 .

[48]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[49]  V. Rich Personal communication , 1989, Nature.

[50]  W.F.J. Verhaegh,et al.  Allocation of multiport memories for hierarchical data streams , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[51]  M. Kinugawa,et al.  Power-supply voltage impact on circuit performance for half and lower submicrometer CMOS LSI , 1990 .

[52]  G. Baccarani,et al.  Generalized scaling theory and its application to a ¼ micrometer MOSFET design , 1984, IEEE Transactions on Electron Devices.

[53]  Bryan D. Ackland,et al.  Physical Design Automation of Vlsi Systems , 1988 .

[54]  S. Belter,et al.  Computer-aided analysis and design of digital filters with arbitrary topology , 1975 .

[55]  Gregory B. Sorkin Asymptotically Perfect Trivial Global Routing: A Stochastic Analysis , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[56]  G. Y. Yacoub,et al.  An accurate simulation technique for short-circuit power dissipation based on current component isolation , 1989, IEEE International Symposium on Circuits and Systems,.

[57]  Miodrag Potkonjak,et al.  Fast prototyping of datapath-intensive architectures , 1991, IEEE Design & Test of Computers.

[58]  Ibrahim N. Hajj,et al.  Probabilistic simulation for reliability analysis of CMOS VLSI circuits , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[59]  Robert K. Brayton,et al.  MIS-MV: optimization of multi-level logic with multiple-values inputs , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[60]  J.B.G. Roberts,et al.  Figures of merit for VLSI implementations of digital signal processing algorithms , 1984 .

[61]  Farid N. Najm,et al.  McPOWER: a Monte Carlo approach to power estimation , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[62]  Yan-Chyuan Shiau,et al.  Time domain current waveform simulation of CMOS circuits , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[63]  Farid N. Najm,et al.  Transition density, a stochastic measure of activity in digital circuits , 1991, 28th ACM/IEEE Design Automation Conference.

[64]  R. Stephenson A and V , 1962, The British journal of ophthalmology.

[65]  Miodrag Potkonjak,et al.  Complexity Estimation for Real Time Application Specific Circuits , 1991, ESSCIRC '91: Proceedings - Seventeenth European Solid-State Circuits Conference.

[66]  Massoud Pedram,et al.  Efficient estimation of dynamic power consumption under a real delay model , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[67]  R.W. Brodersen,et al.  A portable multimedia terminal , 1992, IEEE Communications Magazine.

[68]  Doris Schmitt-Landsiedel,et al.  Optimization of high-speed CMOS logic circuits with analytical models for signal delay, chip area, and dynamic power dissipation , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[69]  Akhilesh Tyagi Hercules: A Power Analyzer for MOS VLSI Circuits , 1987 .

[70]  B. Ricco,et al.  Estimate of signal probability in combinational logic networks , 1989, [1989] Proceedings of the 1st European Test Conference.

[71]  A. M. Martinez Quick estimation of transient currents in CMOS integrated circuits , 1989 .

[72]  Thomas H. Krodel Power play-fast dynamic power estimation based on logic simulation , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[73]  Robert K. Brayton,et al.  Logic Minimization Algorithms for VLSI Synthesis , 1984, The Kluwer International Series in Engineering and Computer Science.

[74]  Srinivas Devadas,et al.  Retiming sequential circuits for low power , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[75]  Burton M. Leary,et al.  A 200 MHz 64 b dual-issue CMOS microprocessor , 1992, 1992 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[76]  Giovanni De Micheli,et al.  Inserting active delay elements to achieve wave pipelining , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[77]  Anton Manfred Stolzle A real-time large vocabulary connected speech recognition system , 1992 .

[78]  Teresa H. Meng,et al.  Video compression for portable communication using pyramid vector quantization of subband coefficients , 1993, Proceedings of IEEE Workshop on VLSI Signal Processing.

[79]  S. Chowdhury,et al.  Estimation of maximum currents in MOS IC logic circuits , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[80]  Jan M. Rabaey,et al.  Exploring the Architecture and Algorithmic Space for Signal Processing Applications , 1993 .

[81]  D.J. Rose,et al.  CAzM: A circuit analyzer with macromodeling , 1983, IEEE Transactions on Electron Devices.