An efficient network on-chip architecture based on isolating local and non-local communications

In this paper, we propose a scheme for reducing the latency of packets transmitted via on-chip interconnect network in MultiProcessor Systems on Chips (MPSoCs). In this scheme, the network architecture separates the packets transmitted to near destinations from those transmitted to distant ones by using two network layers. These two layers are realized by dividing the channel width among the cores. The optimum ratio for the channel width division is a function of relative significances of the two types of communications. Simulation results indicate that for non-uniform traffic constituting of more than 30 percent local traffic, the proposed network, on average provides 64% and 70% improvement over the conventional one in terms of average network latency and Energy-Delay product (EDP), respectively. Also, for uniform and NED traffic patterns, by adjusting the number of hops between local nodes to include approximately 55 percent of total communications in local ones, the proposed architecture provides the latency reduction of 50%.

[1]  Eun Jung Kim,et al.  An Adaptive Physical Channel Regulator for High Performance and Low Power Network-On-Chip Routers , 2010 .

[2]  Natalie D. Enright Jerger,et al.  Fine-Grained Bandwidth Adaptivity in Networks-on-Chip Using Bidirectional Channels , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[3]  Massoud Pedram,et al.  A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution , 2009, J. Low Power Electron..

[4]  Davide Bertozzi,et al.  Improved Utilization of NoC Channel Bandwidth by Switch Replication for Cost-Effective Multi-processor Systems-on-Chip , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[5]  Amit Kumar Singh,et al.  Efficient Heuristics for Minimizing Communication Overhead in NoC-based Heterogeneous MPSoC Platforms , 2009, 2009 IEEE/IFIP International Symposium on Rapid System Prototyping.

[6]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[7]  A. Afzali-Kusha,et al.  Mapping of cores on to diagonal mesh-based network-on-chip , 2012, 2012 Mediterranean Conference on Embedded Computing (MECO).

[8]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[9]  E. Carvalho,et al.  Congestion-aware task mapping in heterogeneous MPSoCs , 2008, 2008 International Symposium on System-on-Chip.

[10]  Fernando Gehm Moraes,et al.  Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs , 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).

[11]  Chita R. Das,et al.  Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[12]  Zhiyi Yu,et al.  Time-Division-Multiplexer based routing algorithm for NoC system , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

[13]  Diederik Verkest,et al.  Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[14]  Karam S. Chatha,et al.  A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[15]  V. Strumpen,et al.  A 16-issue multiple-program-counter microprocessor with point-to-point scalar operand network , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[16]  Luca Benini,et al.  ReliNoC: A reliable network for priority-based on-chip communication , 2011, 2011 Design, Automation & Test in Europe.

[17]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[18]  Jongman Kim,et al.  Sharded Router: A novel on-chip router architecture employing bandwidth sharding and stealing , 2013, Parallel Comput..

[19]  Radu Marculescu,et al.  The Chip Is the Network: Toward a Science of Network-on-Chip Design , 2009, Found. Trends Electron. Des. Autom..

[20]  Roberto Guerrieri,et al.  Design and implementation of a reconfigurable heterogeneous multiprocessor SoC , 2006, IEEE Custom Integrated Circuits Conference 2006.

[21]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[22]  Alexander Sprintson,et al.  Bidirectional interconnect design for low latency high bandwidth NoC , 2013, Proceedings of 2013 International Conference on IC Design & Technology (ICICDT).

[23]  Luca P. Carloni,et al.  Virtual channels vs. multiple physical networks: A comparative analysis , 2010, Design Automation Conference.

[24]  Fernando Gehm Moraes,et al.  Virtual Channels in Networks on Chip: Implementation and Evaluation on Hermes NoC , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.

[25]  Mehdi Kamal,et al.  An efficient network on-chip architecture based on isolating local and non-local communications , 2013, DATE 2013.

[26]  Zhiyi Yu,et al.  A Low-Area Multi-Link Interconnect Architecture for GALS Chip Multiprocessors , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Ryan W. Apperson,et al.  AsAP: A Fine-Grained Many-Core Platform for DSP Applications , 2007, IEEE Micro.