Reliability- and Process-variation aware design of integrated circuits — A broader perspective

A broad review the literature for Reliability- and Process-variation aware VLSI design shows a re-emergence of the topic as a core area of active research. Design of reliable circuits with unreliable components has been a challenge since the early days of electro-mechanical switches and have been addressed by elegant coding and redundancy techniques. And radiation hard design principles have been used extensively for systems affected by soft transient errors. Additional modern reliability concerns associated with parametric degradation of NBTI and soft-broken gate dielectrics and proliferation of memory and thin-film technologies add new dimension to reliability-aware design. Taken together, these device, circuit, architectural, and software based fault-tolerant approaches have enabled continued scaling of integrated circuits and is likely to be a part of any reliability qualification protocol for future technology generations.

[1]  Ad J. van de Goor,et al.  Using March Tests to Test SRAMs , 1993, IEEE Des. Test Comput..

[2]  A. Asenov Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 /spl mu/m MOSFET's: A 3-D "atomistic" simulation study , 1998 .

[3]  T. Calin,et al.  Upset hardened memory design for submicron CMOS technology , 1996 .

[4]  R. Baumann The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction , 2002, Digest. International Electron Devices Meeting,.

[5]  K. Banerjee,et al.  An Electrothermally-Aware Full-Chip Substrate Temperature Gradient Evaluation Methodology for Leakage Dominant Technologies with Implications for Power Estimation and Hot-Spot Management , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[6]  Muhammad A. Alam,et al.  On the possibility of degradation-free field effect transistors , 2008 .

[7]  Xinghai Tang,et al.  Random MOSFET parameter fluctuation limits to gigascale integration (GSI) , 1996, 1996 Symposium on VLSI Technology. Digest of Technical Papers.

[8]  R. Degraeve,et al.  Measurement and statistical analysis of single trap current-voltage characteristics in ultrathin SiON , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[9]  Kaushik Roy,et al.  Fault-Tolerance with Graceful Degradation in Quality: A Design Methodology and its Application to Digital Signal Processing Systems , 2008, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.

[10]  R. Keyes Physical limits of silicon transistors and circuits , 2005 .

[11]  M.D. Berg,et al.  Single-Event Upsets and Multiple-Bit Upsets on a 45 nm SOI SRAM , 2009, IEEE Transactions on Nuclear Science.

[12]  Chandu Visweswariah,et al.  Death, taxes and failing chips , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[13]  Jan B. van Schoot,et al.  Understanding systematic and random CD variations using predictive modeling techniques , 1999, Advanced Lithography.

[14]  Kaushik Roy,et al.  Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  J. Stathis,et al.  The impact of gate-oxide breakdown on SRAM stability , 2002, IEEE Electron Device Letters.

[16]  C.H. Kim,et al.  An on-die CMOS leakage current sensor for measuring process variation in sub-90nm generations , 2004, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005..

[17]  RICHARD KOO,et al.  Checkpointing and Rollback-Recovery for Distributed Systems , 1986, IEEE Transactions on Software Engineering.

[18]  C.H. Kim,et al.  Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits , 2007, 2007 IEEE Symposium on VLSI Circuits.

[19]  Onur Mutlu,et al.  Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[20]  R. Rajsuman,et al.  Iddq testing for CMOS VLSI , 1994, Proceedings of the IEEE.

[21]  Gregory S. Snider,et al.  A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .

[22]  A. Visconti,et al.  Radiation induced leakage current in floating gate memory cells , 2005, IEEE Transactions on Nuclear Science.

[23]  Yuan Xie,et al.  Intrinsic NBTI-variability aware statistical pipeline performance assessment and tuning , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[24]  Kaushik Roy,et al.  Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[25]  Edward J. McCluskey,et al.  Stuck-fault tests vs. actual defects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[26]  Henry H. K. Tang,et al.  SEMM-2: A new generation of single-event-effect modeling tools , 2008, IBM J. Res. Dev..

[27]  Charles F. Hawkins,et al.  IDDQ testing: A review , 1992, J. Electron. Test..

[28]  James H. Stathis,et al.  Reliability limits for the gate insulator in CMOS technology , 2002, IBM J. Res. Dev..

[29]  Vishwani D. Agrawal,et al.  A Tutorial on Built-in Self-Test. I. Principles , 1993, IEEE Des. Test Comput..

[30]  Sachin S. Sapatnekar,et al.  NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[31]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[32]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[33]  C.H. Kim,et al.  On-die CMOS leakage current sensor for measuring process variation in sub-90nm generations , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[34]  Kaushik Roy,et al.  A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[35]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[36]  Kaushik Roy,et al.  Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI , 2006, 2006 International Conference on Computer Design.

[37]  Janusz Rajski,et al.  Compactor independent direct diagnosis , 2004, 13th Asian Test Symposium.

[38]  H. Kufluoglu,et al.  A geometrical unification of the theories of NBTI and HCI time-exponents and its implications for ultra-scaled planar and surround-gate MOSFETs , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[39]  Kaushik Roy,et al.  Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[40]  Naresh R. Shanbhag,et al.  Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  Myeong-Eun Hwang,et al.  Process-Tolerant Ultralow Voltage Digital Subthreshold Design , 2008, 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.

[42]  M.A. Alam,et al.  Design Considerations of Silicon Nanowire Biosensors , 2007, IEEE Transactions on Electron Devices.

[43]  Sotirios G. Ziavras,et al.  Runtime Partial Reconfiguration for Embedded Vector Processors , 2007, Fourth International Conference on Information Technology (ITNG'07).

[44]  Quinn Jacobson,et al.  ERSA: error resilient system architecture for probabilistic applications , 2010, DATE 2010.

[45]  G. Groeseneken,et al.  Challenges in Reliability Assessment of Advanced CMOS Technologies , 2007, 2007 14th International Symposium on the Physical and Failure Analysis of Integrated Circuits.

[46]  Jing Guo,et al.  Performance Assessment of Subpercolating Nanobundle Network Thin-Film Transistors by an Analytical Model , 2007, IEEE Transactions on Electron Devices.

[47]  David Blaauw,et al.  Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[48]  Eiji Takeda,et al.  Hot-Carrier Effects in MOS Devices , 1995 .

[49]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[50]  J. Murthy,et al.  Leakage Power Dependent Temperature Estimation to Predict Thermal Runaway in FinFET Circuits , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[51]  Kunhyuk Kang,et al.  Estimation of NBTI Degradation using IDDQ Measurement , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[52]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[53]  M. Ieong,et al.  Silicon Device Scaling to the Sub-10-nm Regime , 2004, Science.

[54]  Andrea L. Lacaita,et al.  Edge and percolation effects on VT window in nanocrystal memories , 2005 .

[55]  Kaushik Roy,et al.  Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[56]  Kaushik Roy,et al.  Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring , 2005, IEEE International Conference on Test, 2005..

[57]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[58]  Paul E. Dodd,et al.  Neutron-induced latchup in SRAMs at ground level , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[59]  R. K. Smith,et al.  A phenomenological theory of correlated multiple soft-breakdown events in ultra-thin gate dielectrics , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[60]  Kaushik Roy,et al.  CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[61]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[62]  M. Agostinelli,et al.  PMOS NBTI-induced circuit mismatch in advanced technologies , 2004 .

[63]  Jayathi Y. Murthy,et al.  Self-consistent electrothermal analysis of nanotube network transistors , 2011 .

[64]  Jianbo Gao,et al.  Toward hardware-redundant, fault-tolerant logic for nanoelectronics , 2005, IEEE Design & Test of Computers.

[65]  Jing Guo,et al.  Performance assessment of sub-percolating nanobundle network transistors by an analytical model , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[66]  Todd M. Austin,et al.  DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.

[67]  S. John,et al.  NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.

[68]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.