A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints

In this article, we investigate the Intellectual Property (IP) mapping problem that maps a given set of IP cores onto the tiles of a mesh-based Network-on-Chip (NoC) architecture such that the power consumption due to intercore communications is minimized. This IP mapping problem is considered under both bandwidth and latency constraints as imposed by the applications and the on-chip network infrastructure. By examining various applications' communication characteristics extracted from their respective communication trace graphs, two distinguishable connectivity templates are realized: the graphs with tightly coupled vertices and those with distributed vertices. These two templates are formally defined in this article, and different mapping heuristics are subsequently developed to map them. In general, tightly coupled vertices are mapped onto tiles that are physically close to each other while the distributed vertices are mapped following a graph partition scheme. Experimental results on both random and multimedia benchmarks have confirmed that the proposed template-based mapping algorithm achieves an average of 15% power savings as compared with MOCA, a fast greedy-based mapping algorithm. Compared with a branch-and-bound--based mapping algorithm, which produces near optimal results but incurs an extremely high computation cost, the proposed algorithm, due to its polynomial runtime complexity, can generate the results of almost the same quality with much less CPU time. As the on-chip network size increases, the superiority of the proposed algorithm becomes more evident.

[1]  Fernando Gehm Moraes,et al.  Evaluation of Algorithms for Low Energy Mapping onto NoCs , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[2]  Alberto L. Sangiovanni-Vincentelli,et al.  A Methodology for Constraint-Driven Synthesis of On-Chip Communications , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  R. Farah,et al.  A method for efficient mapping and reliable routing for NoC architectures with minimum bandwidth and area , 2008, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference.

[4]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[5]  Vincenzo Catania,et al.  Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

[6]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Axel Jantsch,et al.  Cluster-based Simulated Annealing for Mapping Cores onto 2D Mesh Networks on Chip , 2008, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems.

[8]  James D. Meindl,et al.  Interconnect Opportunities for Gigascale Integration , 2002, IEEE Micro.

[9]  Krishnan Srinivasan,et al.  A technique for low energy mapping and routing in network-on-chip architectures , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[10]  Ahmed Louri,et al.  Adaptive Channel Buffers in On-Chip Interconnection Networks— A Power and Performance Analysis , 2008, IEEE Transactions on Computers.

[11]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[12]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[13]  B. Hendrickson The Chaco User � s Guide Version , 2005 .

[14]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  Radu Marculescu,et al.  Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[16]  Kees G. W. Goossens,et al.  A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[17]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[18]  Bruce Hendrickson,et al.  The Chaco user`s guide. Version 1.0 , 1993 .

[19]  Ahmad Khademzadeh,et al.  Spiral: A heuristic mapping algorithm for network on chip , 2007, IEICE Electron. Express.

[20]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, MICRO.

[21]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[22]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[23]  Massoud Pedram,et al.  Codex-dp: co-design of communicating systems using dynamic programming , 1999, DATE '99.

[24]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[25]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[26]  Axel Jantsch,et al.  Networks on chip , 2003 .

[27]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[28]  Ahmad Khademzadeh,et al.  Crinkle: A heuristic mapping algorithm for network on chip , 2009, IEICE Electron. Express.

[29]  Massoud Pedram,et al.  Codex-dp: co-design of communicating systems using dynamicprogramming , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Yan Zhang,et al.  Pareto based Multi-objective Mapping IP Cores onto NoC Architectures , 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.