Accurate Characterization of the Variability in Power Consumption in Modern Mobile Processors
暂无分享,去创建一个
Rajesh K. Gupta | Bharathan Balaji | Yuvraj Agarwal | John McCullough | Rajesh K. Gupta | Bharathan Balaji | J. McCullough | Yuvraj Agarwal
[1] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[2] Rajesh Gupta,et al. Evaluating the effectiveness of model-based power characterization , 2011 .
[3] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[4] Puneet Gupta,et al. Variability-aware duty cycle scheduling in long running embedded sensing systems , 2011, 2011 Design, Automation & Test in Europe.
[5] Dhiraj K. Pradhan,et al. A Routing-Aware ILS Design Technique , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] James Tschanz,et al. Impact of Parameter Variations on Circuits and Microarchitecture , 2006, IEEE Micro.
[7] Josep Torrellas,et al. Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors , 2008, 2008 International Symposium on Computer Architecture.
[8] Muhammad Shafique,et al. System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[9] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[10] Borivoje Nikolic,et al. Measurement and Analysis of Variability in 45 nm Strained-Si CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.
[11] Sani R. Nassif,et al. Process variability at the 65nm node and beyond , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[12] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[13] James Charles,et al. Evaluation of the Intel® Core™ i7 Turbo Boost feature , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).
[14] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[15] Diana Marculescu,et al. Variation-aware dynamic voltage/frequency scaling , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[16] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[17] Prashant J. Shenoy,et al. Chameleon: Application-Level Power Management , 2008, IEEE Transactions on Mobile Computing.
[18] Sani R. Nassif,et al. Characterizing Process Variation in Nanometer CMOS , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[19] Yu Cao,et al. Rigorous extraction of process variations for 65nm CMOS design , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.
[20] Gernot Heiser,et al. Slow Down or Sleep, That Is the Question , 2011, USENIX Annual Technical Conference.
[21] D. Acharyya,et al. Rigorous Extraction of Process Variations for 65-nm CMOS Design , 2009, IEEE Transactions on Semiconductor Manufacturing.