Sidewall roughness in nanolithography: origins, metrology and device effects

Abstract: One of the great challenges in next generation lithography is to print linear features with controllable sidewall roughness, which is usually called line edge/line width roughness (LER/LWR). The aim of this chapter is to provide an interdisciplinary approach to LER/LWR covering all related aspects. To this end, after a short introduction to LER/LWR concepts, it reports the basic findings of recent intensive research concerning the metrology and characterization, the material and process origins, and the device effects of LER/LWR. Both simulation and experimental results are presented, and emphasis is given to their comparison.

[1]  Gregg M. Gallatin,et al.  Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes , 2004 .

[2]  Kouichirou Tsujita,et al.  Influence of line-edge roughness on MOSFET devices with sub-50-nm gates , 2004, SPIE Advanced Lithography.

[3]  A. V. Pret,et al.  Mask roughness impact on extreme UV and 193nm immersion lithography , 2012 .

[4]  C. Mack Development of Positive Photoresists , 1987 .

[5]  Evangelos Gogolides,et al.  Photoresist line-edge roughness analysis using scaling concepts , 2004 .

[6]  Peter Trefonas,et al.  Resist effects at small pitches , 2006 .

[7]  Jangho Shin,et al.  Resist line edge roughness and aerial image contrast , 2001 .

[8]  Benjamin Bunday,et al.  LER detection using dark field spectroscopic reflectometry , 2010, Advanced Lithography.

[9]  Wolfgang Osten,et al.  Fieldstitching with Kirchhoff-boundaries as a model based description for line edge roughness (LER) in scatterometry , 2009 .

[10]  A. Yamaguchi,et al.  Characterization of line edge roughness in resist patterns by Fourier analysis and auto-correlation function , 2002, 2002 International Microprocesses and Nanotechnology Conference, 2002. Digest of Papers..

[11]  Mingxing Wang,et al.  Photosensitivity and line-edge roughness of novel polymer-bound PAG photoresists , 2007, SPIE Advanced Lithography.

[12]  Adam R. Pawloski,et al.  The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness , 2005, SPIE Advanced Lithography.

[13]  A. Asenov,et al.  Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .

[14]  Material and process effects on line-edge-roughness of photoresists probed with a fast stochastic lithography simulator , 2005 .

[15]  George P. Patsis,et al.  Integrated simulation of line-edge roughness (LER) effects on sub-65nm transistor operation: From lithography simulation, to LER metrology, to device operation , 2006, SPIE Advanced Lithography.

[16]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[17]  David Blankenship,et al.  Resist pattern prediction at EUV , 2010, Advanced Lithography.

[18]  Olivier Joubert,et al.  Plasma impact on 193 nm photoresist linewidth roughness: Role of plasma vacuum ultraviolet light , 2009 .

[19]  Costas J. Spanos,et al.  Robust estimation of line width roughness parameters , 2010 .

[20]  M. Ieong,et al.  Modeling line edge roughness effects in sub 100 nanometer gate length devices , 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).

[21]  Andreas Erdmann,et al.  A comprehensive resist model for the prediction of line-edge roughness material and process dependencies in optical lithography , 2008, SPIE Advanced Lithography.

[22]  Harry J. Levinson,et al.  The transfer of photoresist LER through etch , 2006, SPIE Advanced Lithography.

[23]  Olivier Joubert,et al.  Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors , 2008 .

[24]  Atsushi Hiraiwa,et al.  Discrete power spectrum of line width roughness , 2009 .

[25]  Roel Gronheid,et al.  Line Width Roughness mitigation in chemically amplified resist by post-litho processes , 2010 .

[26]  David Van Steenwinckel,et al.  Lithographic importance of acid diffusion in chemically amplified resists , 2005, SPIE Advanced Lithography.

[27]  Shimeng Yu,et al.  The impact of line edge roughness on the stability of a FinFET SRAM , 2009 .

[28]  Suman Datta,et al.  Impact of line-width roughness on Intel's 65-nm process devices , 2007, SPIE Advanced Lithography.

[29]  Atsuko Yamaguchi,et al.  Bias-free measurement of LER/LWR with low damage by CD-SEM , 2006, SPIE Advanced Lithography.

[30]  J. Foucher,et al.  Impact of acid diffusion length on resist LER and LWR measured by CD-AFM and CD-SEM , 2007, SPIE Advanced Lithography.

[31]  J. S. Villarrubia,et al.  Unbiased estimation of linewidth roughness , 2005, SPIE Advanced Lithography.

[32]  Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator , 2010 .

[33]  M. Ercken,et al.  Line edge roughness: experimental results related to a two-parameter model , 2004 .

[34]  R. Rooyackers,et al.  Experimental investigation of the impact of line-edge roughness on MOSFET performance and yield , 2003, ESSDERC '03. 33rd Conference on European Solid-State Device Research, 2003..

[35]  Bruno M. La Fontaine,et al.  Characterization of line-edge roughness in photoresist using an image fading technique , 2004, SPIE Advanced Lithography.

[36]  Wen-li Wu,et al.  Linewidth roughness and cross-sectional measurements of sub-50 nm structures with CD-SAXS and CD-SEM , 2008, SPIE Advanced Lithography.

[37]  Patrick P. Naulleau,et al.  Mask roughness and its implications for LER at the 22- and 16nm nodes , 2010, Advanced Lithography.

[38]  Chris A. Mack Line-edge roughness and the ultimate limits of lithography , 2010, Advanced Lithography.

[39]  Alessandro Vaglio Pret,et al.  Impact of post-litho LWR smoothing processes on the post-etch patterning result , 2011, Advanced Lithography.

[40]  E. Gogolides,et al.  Effects of resist sidewall morphology on line-edge roughness reduction and transfer during etching: is the resist sidewall after development isotropic or anisotropic? , 2010 .

[41]  Patrick P. Naulleau,et al.  Influence of base and photoacid generator on deprotection blur in extreme ultraviolet photoresists and some thoughts on shot noise , 2008 .

[42]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors , 2003 .

[43]  Kenji Yamazaki,et al.  Influence of edge roughness in resist patterns on etched patterns , 1998 .

[44]  Olivier Joubert,et al.  Unbiased line width roughness measurements with critical dimension scanning electron microscopy and critical dimension atomic force microscopy , 2012 .

[45]  William Lawrence Spatial frequency analysis of line-edge roughness in nine chemically related photoresists , 2003, SPIE Advanced Lithography.

[46]  Patrick P Naulleau Correlation method for the measure of mask-induced line-edge roughness in extreme ultraviolet lithography. , 2009, Applied optics.

[47]  Bruce W. Smith Optical projection lithography , 2014 .

[48]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. I. A comparison between off-line and on-line analysis of top-down scanning electron microscopy images , 2003 .

[49]  H. Sawin,et al.  Review of profile and roughening simulation in microelectronics plasma etching , 2009 .

[50]  Evangelos Gogolides,et al.  Fractals and device performance variability: The key role of roughness in micro and nanofabrication , 2012 .

[51]  Seiichi Kondo,et al.  Mechanism of reducing line edge roughness in ArF photoresist by using CF3I plasma , 2009 .

[52]  C Millar,et al.  Understanding LER-Induced MOSFET $V_{T}$ Variability—Part II: Reconstructing the Distribution , 2010, IEEE Transactions on Electron Devices.

[53]  Ronald G. Dixson,et al.  Generalized ellipsometry of artificially designed line width roughness , 2011 .

[54]  M. Jurczak,et al.  Impact of LER and Random Dopant Fluctuations on FinFET Matching Performance , 2008, IEEE Transactions on Nanotechnology.

[55]  Clifford L. Henderson,et al.  Three-dimensional mesoscale model for the simulation of LER in photoresists , 2010, Advanced Lithography.

[56]  Manish Chandhok,et al.  Improvement in linewidth roughness by postprocessing , 2008 .

[57]  E. Gogolides,et al.  Stochastic modeling and simulation of photoresist surface and line-edge roughness evolution , 2010 .

[58]  Atsuko Yamaguchi,et al.  Influence of image processing on line-edge roughness in CD-SEM measurement , 2008, SPIE Advanced Lithography.

[59]  Yi-Sha Ku,et al.  Angular scatterometry for line-width roughness measurement , 2007, SPIE Advanced Lithography.

[60]  John S. Villarrubia,et al.  Determination of optimal parameters for CD-SEM measurement of line-edge roughness , 2004, SPIE Advanced Lithography.

[61]  Bryan J. Rice,et al.  Effects of processing parameters on line-width roughness , 2003, SPIE Advanced Lithography.

[62]  Evangelos Gogolides,et al.  Correlation length and the problem of line width roughness , 2007, SPIE Advanced Lithography.

[63]  John S. Villarrubia,et al.  Issues in Line Edge and Linewidth Roughness Metrology , 2005 .

[64]  Hiroshi Morita,et al.  Meso-scale simulation of the line-edge structure based on polymer chains in the developing and rinse process , 2010, Advanced Lithography.

[65]  Chris A. Mack Impact of mask roughness on wafer line-edge roughness , 2009, Photomask Technology.

[66]  D Reid,et al.  Understanding LER-Induced MOSFET $V_{T}$ Variability—Part I: Three-Dimensional Simulation of Large Statistical Samples , 2010, IEEE Transactions on Electron Devices.

[67]  Roel Gronheid,et al.  Effect of PAG distribution on ArF and EUV resist performance , 2009 .

[68]  Roel Gronheid,et al.  Mask line roughness contribution in EUV lithography , 2011 .

[69]  Theodore H. Fedynyshyn,et al.  PAG segregation during exposure affecting innate material roughness , 2007, SPIE Advanced Lithography.

[70]  Atsuko Yamaguchi,et al.  Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance , 2005 .

[71]  Abdelkarim Mercha,et al.  Impact of line width roughness on device performance , 2006, SPIE Advanced Lithography.

[72]  F. Cerrina,et al.  Process dependence of roughness in a positive-tone chemically amplified resist , 1998 .

[73]  Robert L. Brainard,et al.  Coefficient of thermal expansion (CTE) in EUV lithography: LER and adhesion improvement , 2011, Advanced Lithography.

[74]  Lewis W. Flanagin,et al.  The Mechanism of Phenolic Polymer Dissolution: A New Perspective , 1997 .

[75]  Hyun-Woo Kim,et al.  Experimental investigation of the impact of LWR on sub-100-nm device performance , 2004, IEEE Transactions on Electron Devices.

[76]  M. Ercken,et al.  Full spectral analysis of line width roughness , 2005, SPIE Advanced Lithography.

[77]  Adam R. Pawloski,et al.  Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resists , 2002 .

[78]  E. Schoell,et al.  Impact of photoresist composition and polymer chain length on line edge roughness probed with a stochastic simulator , 2007 .

[79]  E. Gogolides,et al.  Nanoscale Roughness Effects at the Interface of Lithography and Plasma Etching: Modeling of Line-Edge-Roughness Transfer During Plasma Etching , 2009, IEEE Transactions on Plasma Science.

[80]  Atsuko Yamaguchi,et al.  Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance , 2003, SPIE Advanced Lithography.

[81]  C.H. Diaz,et al.  An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling , 2001, IEEE Electron Device Letters.

[82]  Z. Ding,et al.  Monte Carlo simulation study of scanning electron microscopy images of rough surfaces , 2008 .

[83]  Joseph Kennedy,et al.  Characterization of line-edge roughness (LER) propagation from resists: underlayer interfaces in ultrathin resist films , 2010, Advanced Lithography.

[84]  Gregg M. Gallatin Resist blur and line edge roughness (Invited Paper) , 2004, SPIE Advanced Lithography.

[85]  Ndubuisi G. Orji,et al.  Line edge roughness metrology using atomic force microscopes , 2005 .

[86]  V. Constantoudis,et al.  Fractal dimension of line width roughness and its effects on transistor performance , 2008, SPIE Advanced Lithography.

[87]  H. Kumar Wickramasinghe,et al.  Method for imaging sidewalls by atomic force microscopy , 1994 .

[88]  P. Fisher,et al.  Is gate line edge roughness a first-order issue in affecting the performance of deep sub-micro bulk MOSFET devices? , 2004, IEEE Transactions on Semiconductor Manufacturing.

[89]  Evangelos Gogolides,et al.  Simulation of surface and line-edge roughness formation in resists , 2001 .

[90]  Takahiro Kozawa,et al.  Line edge roughness after development in a positive-tone chemically amplified resist of post-optical lithography investigated by Monte Carlo simulation and a dissolution model. , 2008, Nanotechnology.

[91]  Munirathna Padmanaban,et al.  Some non-resist component contributions to LER and LWR in 193-nm lithography , 2007, SPIE Advanced Lithography.

[92]  D. Graves,et al.  Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication , 2011 .

[93]  Peter Trefonas,et al.  Shot noise, LER, and quantum efficiency of EUV photoresists , 2004, SPIE Advanced Lithography.

[94]  A. Mercha,et al.  Impact of line width roughness on the matching performances of next-generation devices , 2008 .

[95]  A. Asenov,et al.  Simulation Study of Individual and Combined Sources of Intrinsic Parameter Fluctuations in Conventional Nano-MOSFETs , 2006, IEEE Transactions on Electron Devices.

[96]  Patrick P. Naulleau,et al.  Modeling the transfer of line edge roughness from an EUV mask to the wafer , 2011, Advanced Lithography.

[97]  Patrick P. Naulleau,et al.  Resolution, LER, and sensitivity limitations of photoresists , 2008, SPIE Advanced Lithography.

[98]  C. Hohle,et al.  Gate edge roughness in electron beam direct write and its influence to device characteristics , 2008, SPIE Advanced Lithography.