Efficient in-situ delay monitoring for chip health tracking
暂无分享,去创建一个
[1] Emre Salman,et al. Pessimism reduction in static timing analysis using interdependent setup and hold times , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[2] Emre Salman,et al. Utilizing interdependent timing constraints to enhance robustness in synchronous circuits , 2012, Microelectron. J..
[3] Massoud Pedram,et al. All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[4] Deming Chen,et al. DynaTune: Circuit-level optimization for timing speculation considering dynamic path behavior , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[5] Kyoungho Woo,et al. Time-Domain CMOS Temperature Sensors With Dual Delay-Locked Loops for Microprocessor Thermal Monitoring , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Kunihiro Asada,et al. A novel test structure for measuring the threshold voltage variance in MOSFETs , 2013, 2013 IEEE International Test Conference (ITC).
[7] Vishwani D. Agrawal,et al. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.
[8] Ke Huang,et al. Real-Time Prediction for IC Aging Based on Machine Learning , 2019, IEEE Transactions on Instrumentation and Measurement.
[9] Robert U. Ayres,et al. The Singularity is Near: When Humans Transcend Biology, Ray Kurzweil. Viking Penguin, New York (2005), 602 pages plus index; $29.95 , 2006 .
[10] M.A. Alam,et al. A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.
[11] Bo Lojek,et al. History of semiconductor engineering , 2007 .
[12] Xiaowei Li,et al. An effective BIST scheme for delay testing , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).
[13] Ching-Te Chuang,et al. Slew-Rate Monitoring Circuit for On-Chip Process Variation Detection , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14] Alessandro Bogliolo,et al. Measuring the effects of process variations on circuit performance by means of digitally-controllable ring oscillators , 2003, International Conference on Microelectronic Test Structures, 2003..
[15] Karl Fuchs,et al. A new BIST approach for delay fault testing , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.
[16] S. Hessabi,et al. An On-Line BIST Technique for Delay Fault Detection in CMOS Circuits , 2007, 16th Asian Test Symposium (ATS 2007).
[17] Sander Stuijk,et al. NoC-Based Multiprocessor Architecture for Mixed-Time-Criticality Applications , 2017, Handbook of Hardware/Software Codesign.
[18] Toshinori Sato,et al. A Simple Flip-Flop Circuit for Typical-Case Designs for DFM , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[19] Peter N. Stearns,et al. Industrialization in the modern world : from the Industrial Revolution to the Internet , 2014 .
[20] David Blaauw,et al. In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[21] Jaijeet S. Roychowdhury,et al. Independent and Interdependent Latch Setup/Hold Time Characterization via Newton–Raphson Solution and Euler Curve Tracking of State-Transition Equations , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] Masahiko Yoshimoto,et al. Time-Dependent Degradation in Device Characteristics and Countermeasures by Design , 2018, VLSI Design and Test for Systems Dependability.
[23] Pablo Ituero,et al. 2.64 pJ reference-free power supply monitor with a wide temperature range , 2015, 2015 International Workshop on CMOS Variability (VARI).
[24] Pablo Ituero,et al. Taxonomy of power supply monitors and integration challenges , 2016, 2016 IEEE 21st International Mixed-Signal Testing Workshop (IMSTW).
[25] Alexandre Schmid,et al. Reliability of Nanoscale Circuits and Systems: Methodologies and Circuit Architectures , 2010 .
[26] Ning Chen,et al. Iterative timing analysis based on nonlinear and interdependent flipflop modelling , 2012, IET Circuits Devices Syst..
[27] Sung-Mo Kang,et al. Circuit Design for Reliability , 1993 .
[28] Saman Kiamehr,et al. Cross-Layer Resiliency Modeling and Optimization: A Device to Circuit Approach , 2015 .
[29] Vazgen Melikyan,et al. A process variation detection method , 2010, 2010 East-West Design & Test Symposium (EWDTS).
[30] Sorin Cotofana,et al. Variation tolerant on-chip degradation sensors for dynamic reliability management systems , 2012, Microelectron. Reliab..
[31] Kees Goossens,et al. The CompSOC design flow for virtual execution platforms , 2013 .
[32] Kees G. W. Goossens,et al. Chip Health Tracking Using Dynamic In-Situ Delay Monitoring , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[33] Karl Hess,et al. Reliability scaling issues for nanoscale devices , 2003 .
[34] Karl Fuchs,et al. A BIST approach to delay fault testing with reduced test length , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.
[35] Yu Cao,et al. Aging statistics based on trapping/detrapping: Silicon evidence, modeling and long-term prediction , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[36] Wayne P. Burleson,et al. A 12.4μm2 133.4μW 4.56mV/°C resolution digital on-chip thermal sensing circuit in 45nm CMOS utilizing sub-threshold operation , 2011, 2011 12th International Symposium on Quality Electronic Design.
[37] Sreehari Rao Patri,et al. On-chip CMOS temperature sensor with current calibrated accuracy of −1.1°C to +1.4°C (3σ) from −20°C to 150°C , 2015, 2015 19th International Symposium on VLSI Design and Test.
[38] Ishiuchi,et al. Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas , 2004 .
[39] Slawomir Pilarski,et al. BIST and delay fault detection , 1993, Proceedings of IEEE International Test Conference - (ITC).
[40] Mark Mohammad Tehranipoor,et al. An All Digital Distributed Sensor Network Based Framework for Continuous Noise Monitoring and Timing Failure Analysis in SoCs , 2014, 2014 IEEE 23rd Asian Test Symposium.
[41] Márta Rencz,et al. CMOS sensors for on-line thermal monitoring of VLSI circuits , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[42] Michael Nicolaidis. Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[43] Kunihiro Asada,et al. On-chip voltage noise monitor for measuring voltage bounce in power supply lines using a digital tester , 2000, ICMTS 2000. Proceedings of the 2000 International Conference on Microelectronic Test Structures (Cat. No.00CH37095).
[44] José Pineda de Gyvez,et al. A better-than-worst-case circuit design methodology using timing-error speculation and frequency adaptation , 2012, 2012 IEEE International SOC Conference.
[45] David Money Harris,et al. A Compact Transregional Model for Digital CMOS Circuits Operating Near Threshold , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[46] Massoud Pedram,et al. Statistical timing analysis of flip-flops considering codependent setup and hold times , 2008, GLSVLSI '08.
[47] David Blaauw,et al. Bubble Razor: An architecture-independent approach to timing-error detection and correction , 2012, 2012 IEEE International Solid-State Circuits Conference.
[48] E. Mintarno,et al. Workload dependent NBTI and PBTI analysis for a sub-45nm commercial microprocessor , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[49] Kees G. W. Goossens,et al. dAElite: A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up , 2014, IEEE Transactions on Computers.
[50] Yu Jiang,et al. WILD: A workload-based learning model to predict dynamic delay of functional units , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[51] Meng Lu,et al. Technical Feasibility of Advanced Driver Assistance Systems (ADAS) for Road Traffic Safety , 2005 .
[52] Puneet Gupta,et al. SlackProbe: A low overhead in situ on-line timing slack monitoring methodology , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[53] Sachin S. Sapatnekar,et al. Estimating Circuit Aging Due to BTI and HCI Using Ring-Oscillator-Based Sensors , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[54] J. Tschanz,et al. Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance , 2009, 2009 Symposium on VLSI Circuits.
[55] Kees G. W. Goossens,et al. An analytical model for interdependent setup/hold-time characterization of flip-flops , 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).
[56] Georges Gielen,et al. Analog IC Reliability in Nanometer CMOS , 2013 .
[57] P. Wambacq,et al. Impact of scaling on analog/RF CMOS performance , 2004, Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004..
[58] Mehdi Baradaran Tahoori,et al. Representative critical-path selection for aging-induced delay monitoring , 2013, 2013 IEEE International Test Conference (ITC).
[59] L. Edmunds,et al. Advances in the heart-lung machine after John and Mary Gibbon. , 2003, The Annals of thoracic surgery.
[60] Mehdi Baradaran Tahoori,et al. Fine-grained aging prediction based on the monitoring of run-time stress using DfT infrastructure , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[61] Mark Tehranipoor,et al. Design of Reliable SoCs With BIST Hardware and Machine Learning , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[62] N. Ranganathan,et al. Dynamic clock stretching for variation compensation in VLSI circuit design , 2012, JETC.
[63] David Blaauw,et al. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.
[64] Krishnendu Chakrabarty,et al. Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[65] Henk Corporaal,et al. Blocks: Redesigning Coarse Grained Reconfigurable Architectures for Energy Efficiency , 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).
[66] Soraya Ghiasi,et al. A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[67] Dennis Sylvester,et al. Razor-Lite: A Light-Weight Register for Error Detection by Observing Virtual Supply Rails , 2014, IEEE Journal of Solid-State Circuits.
[68] Hideo Ito,et al. Optimal seed generation for delay fault detection BIST , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..
[69] Kees Goossens,et al. Timing Speculation With Optimal In Situ Monitoring Placement and Within-Cycle Error Prevention , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[70] Kwanyeob Chae,et al. A Dynamic Timing Error Prevention Technique in Pipelines With Time Borrowing and Clock Stretching , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[71] Taewhan Kim,et al. Clock skew optimization for maximizing time margin by utilizing flexible flip-flop timing , 2015, Sixteenth International Symposium on Quality Electronic Design.
[72] Ali Dasdan,et al. Derating for static timing analysis: Theory and practice , 2009, 2009 10th International Symposium on Quality Electronic Design.
[73] Xin Liu,et al. HEPP: A new in-situ timing-error prediction and prevention technique for variation-tolerant ultra-low-voltage designs , 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).
[74] Lorena Anghel,et al. Investigation of critical path selection for in-situ monitors insertion , 2017, 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS).
[75] G.M. Quenot,et al. A temperature and voltage measurement cell for VLSI circuits , 1991, Euro ASIC '91.
[76] David M. Bull,et al. RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.
[77] Ali Afzali-Kusha,et al. Dynamic Flip-Flop Conversion: A Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[78] Puneet Gupta,et al. SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[79] G E Hanks,et al. Recent patterns of growth in radiation therapy facilities in the United States: a patterns of care study report. , 1992, International journal of radiation oncology, biology, physics.
[80] Chang Liu,et al. FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects , 2014, 2014 International Test Conference.
[81] Longxing Shi,et al. A Low Overhead, Within-a-Cycle Adaptive Clock Stretching Circuit With Wide Operating Range in 40-nm CMOS , 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.
[82] Sachin S. Sapatnekar,et al. The impact of hot carriers on timing in large circuits , 2012, 17th Asia and South Pacific Design Automation Conference.
[83] Mohak Shah,et al. Evaluating Learning Algorithms: A Classification Perspective , 2011 .
[84] Edward J. McCluskey,et al. Delay defect screening using process monitor structures , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..
[85] Igor Schagaev,et al. Resilient Computer System Design , 2015 .
[86] Sandeep K. Gupta,et al. Design of efficient BIST test pattern generators for delay testing , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[87] Trent McConaghy,et al. Variation-Aware Design of Custom Integrated Circuits: A Hands-on Field Guide , 2012 .
[88] Sachin S. Sapatnekar,et al. A framework for block-based timing sensitivity analysis , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[89] Kees G. W. Goossens,et al. Effective In-Situ Chip Health Monitoring with Selective Monitor Insertion Along Timing Paths , 2018, ACM Great Lakes Symposium on VLSI.
[90] Vincent Huard,et al. Enabling robust automotive electronic components in advanced CMOS nodes , 2017, Microelectron. Reliab..
[91] K.A. Bowman,et al. Energy-efficient and metastability-immune timing-error detection and recovery circuits for dynamic variation tolerance , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.
[92] Seiji Kajihara,et al. A Stochastic Model for NBTI-Induced LSI Degradation in Field , 2013, 2013 22nd Asian Test Symposium.
[93] Manoj Sachdev,et al. Efficient adaptive voltage scaling system through on-chip critical path emulation , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[94] Mark Mohammad Tehranipoor,et al. A robust digital sensor IP and sensor insertion flow for in-situ path timing slack monitoring in SoCs , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).
[95] David Blaauw,et al. iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor , 2018, IEEE Journal of Solid-State Circuits.
[96] John Sartori,et al. Graph-based dynamic analysis: Efficient characterization of dynamic timing and activity distributions , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[97] Andrew B. Kahng,et al. Timing margin recovery with flexible flip-flop timing model , 2014, Fifteenth International Symposium on Quality Electronic Design.
[98] Keith A. Jenkins,et al. A Completely Digital On-Chip Circuit for Local-Random-Variability Measurement , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[99] Robert C. Aitken,et al. TIMBER: Time borrowing and error relaying for online timing error resilience , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).