Challenges of 22 nm and beyond CMOS technology

It is predicted that CMOS technology will probably enter into 22 nm node around 2012. Scaling of CMOS logic technology from 32 to 22 nm node meets more critical issues and needs some significant changes of the technology, as well as integration of the advanced processes. This paper will review the key processing technologies which can be potentially integrated into 22 nm and beyond technology nodes, including double patterning technology with high NA water immersion lithography and EUV lithography, new device architectures, high K/metal gate (HK/MG) stack and integration technology, mobility enhancement technologies, source/drain engineering and advanced copper interconnect technology with ultra-low-k process.

[1]  Kafai Lai,et al.  Optimum mask and source patterns to print a given shape , 2001, SPIE Advanced Lithography.

[2]  Xing Zhang,et al.  Experimental Investigations on Carrier Transport in Si Nanowire Transistors: Ballistic Efficiency and Apparent Mobility , 2008, IEEE Transactions on Electron Devices.

[3]  Sunyoung Koo,et al.  Issues and challenges of double patterning lithography in DRAM , 2007, SPIE Advanced Lithography.

[4]  Yee-Chia Yeo,et al.  Enhancing CMOS transistor performance using lattice-mismatched materials in source/drain regions , 2006, 2006 International SiGe Technology and Device Meeting.

[5]  Guo-Qiang Lo,et al.  Novel and cost-efficient single metallic silicide integration solution with dual Schottky-barrier achieved by aluminum inter-diffusion for FinFET CMOS technology with enhanced performance , 2008, 2008 Symposium on VLSI Technology.

[6]  Takeshi Koshiba,et al.  Study of nanoimprint applications toward 22nm node CMOS devices , 2008 .

[7]  Alan E. Rosenbluth,et al.  Optimum mask and source patterns to print a given shape , 2002 .

[8]  G. Ghibaudo,et al.  Unexpected mobility degradation for very short devices : A new challenge for CMOS scaling , 2006, 2006 International Electron Devices Meeting.

[9]  Donggun Park,et al.  Experimental Investigation on Superior PMOS Performance of Uniaxial Strained ≪110≫ Silicon Nanowire Channel By Embedded SiGe Source/Drain , 2007, 2007 IEEE International Electron Devices Meeting.

[10]  W. Steinhögl,et al.  Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller , 2005 .

[11]  R. Chau,et al.  A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.

[12]  G. Le Carval,et al.  Experimental determination of the channel backscattering coefficient on 10–70 nm-metal-gate Double-Gate transistors , 2007 .

[13]  V. Vervisch,et al.  Ultra shallow junctions fabrication by Plasma Immersion Implantation on PULSION® followed by different annealing processes , 2008, Extended Abstracts - 2008 8th International Workshop on Junction Technology (IWJT '08).

[14]  D.S.H. Chan,et al.  Improved electrical and reliability Characteristics of HfN--HfO/sub 2/-gated nMOSFET with 0.95-nm EOT fabricated using a gate-first Process , 2005, IEEE Electron Device Letters.

[15]  Ming Zhu,et al.  A High-Stress Liner Comprising Diamond-Like Carbon (DLC) for Strained p-Channel MOSFET , 2008, IEEE Electron Device Letters.

[16]  Mingxing Wang,et al.  Synthesis and properties of new anionic photoacid generators bound polymer resists for e-beam and EUV lithography , 2008, SPIE Advanced Lithography.

[17]  Jae-Heon Shin,et al.  Characterization of erbium-silicided Schottky diode junction , 2005 .

[18]  W. Krull,et al.  Decaborane ion implantation , 2000, 2000 International Conference on Ion Implantation Technology Proceedings. Ion Implantation Technology - 2000 (Cat. No.00EX432).

[19]  A. Chou,et al.  High performance CMOS fabricated on hybrid substrate with different crystal orientations , 2003, IEEE International Electron Devices Meeting 2003.

[20]  Y. Yeo,et al.  Strained n-Channel FinFETs Featuring In Situ Doped Silicon–Carbon $(\hbox{Si}_{1 - y}\hbox{C}_{y})$ Source and Drain Stressors With High Carbon Content , 2008, IEEE Transactions on Electron Devices.

[21]  Steve McCoy,et al.  An Overview of ms Annealing for Deep Sub-Micron Activation , 2008 .

[22]  Takashi Suzuki,et al.  Height Dependent Resistivity of Copper Interconnects in the Size Effect , 2007 .

[23]  H. Kikuchi,et al.  New Three-Dimensional Integration Technology Based on Reconfigured Wafer-on-Wafer Bonding Technique , 2007, 2007 IEEE International Electron Devices Meeting.

[24]  Ming Zhu,et al.  Spacer Removal Technique for Boosting Strain in n-Channel FinFETs With Silicon-Carbon Source and Drain Stressors , 2008, IEEE Electron Device Letters.

[25]  Y. Toyoshima,et al.  Three-dimensional stress engineering in FinFETs for mobility/on-current enhancement and gate current reduction , 2008, 2008 Symposium on VLSI Technology.

[26]  T. Shen,et al.  High-performance surface channel In-rich In0.75Ga0.25As MOSFETs with ALD high-k as gate dielectric , 2008, 2008 IEEE International Electron Devices Meeting.

[27]  Shi-Li Zhang,et al.  Schottky-Barrier Height Tuning by Means of Ion Implantation Into Preformed Silicide Films Followed by Drive-In Anneal , 2007, IEEE Electron Device Letters.

[28]  Ru Huang,et al.  Impacts of non-negligible electron trapping/detrapping on the NBTI characteristics in silicon nanowire transistors with TiN metal gates , 2008, 2008 IEEE International Electron Devices Meeting.

[29]  Bin Yu,et al.  FinFET scaling to 10 nm gate length , 2002, Digest. International Electron Devices Meeting,.

[30]  Lars W. Liebmann DfM, the teenage years , 2008, SPIE Advanced Lithography.

[31]  Minoru Toriumi,et al.  Surface roughness of molecular resist for EUV lithography , 2008, SPIE Advanced Lithography.

[32]  A. Hiraiwa,et al.  Ultra-shallow junction formation by non-melt laser spike annealing for 50-nm gate CMOS , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[33]  E. Polizzi,et al.  A computational study of ballistic silicon nanowire transistors , 2003, IEEE International Electron Devices Meeting 2003.

[34]  J. Larson,et al.  Overview and status of metal S/D Schottky-barrier MOSFET technology , 2006, IEEE Transactions on Electron Devices.

[35]  Patrick P. Naulleau,et al.  Fundamental limits to EUV photoresist , 2007, SPIE Advanced Lithography.

[36]  H. Wong,et al.  Fabrication and Characterization of Carbon Nanotube Interconnects , 2007, 2007 IEEE International Electron Devices Meeting.

[37]  Burn Jeng Lin Marching of the microlithography horses: electron, ion, and photon: past, present, and future , 2007, SPIE Advanced Lithography.

[38]  Chenming Hu,et al.  5nm-gate nanowire FinFET , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[39]  Yee-Chia Yeo,et al.  N-Channel MOSFETs With Embedded Silicon–Carbon Source/Drain Stressors Formed Using Cluster-Carbon Implant and Excimer-Laser-Induced Solid Phase Epitaxy , 2008, IEEE Electron Device Letters.

[40]  Masahito Niibe,et al.  Carbon deposition on multi-layer mirrors by extreme ultra violet ray irradiation , 2007, SPIE Advanced Lithography.

[41]  Guido Schriever,et al.  Sn DPP source-collector modules: status of alpha resources, beta developments, and the scalability to HVM , 2008, SPIE Advanced Lithography.

[42]  A. Lochtefeld,et al.  Detailed Simulation Study of a Reverse Embedded-SiGe Strained-Silicon MOSFET , 2008, IEEE Transactions on Electron Devices.

[43]  W. Lee,et al.  A novel CVD-SiBCN Low-K spacer technology for high-speed applications , 2008, 2008 Symposium on VLSI Technology.

[44]  R. Rooyackers,et al.  Scalability of the Si/sub 1-x/Ge/sub x/ source/drain technology for the 45-nm technology node and beyond , 2006, IEEE Transactions on Electron Devices.

[45]  Chenming Hu,et al.  A folded-channel MOSFET for deep-sub-tenth micron era , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[46]  Yu Tian,et al.  A novel nanoscaled device concept: quasi-SOI MOSFET to eliminate the potential weaknesses of UTB SOI MOSFET , 2005, IEEE Transactions on Electron Devices.

[47]  Shinichi Ogawa,et al.  Plasma-Enhanced ALD Ru Thin Films on PVD-TaN Films with Smooth Morphology at Low Temperature Using DER Ru Precursor , 2007 .

[48]  D.S.H. Chan,et al.  Mechanism of positive-bias temperature instability in sub-1-nm TaN/HfN/HfO/sub 2/ gate stack with low preexisting traps , 2005, IEEE Electron Device Letters.

[49]  O. Faynot,et al.  15nm-diameter 3D stacked nanowires with independent gates operation: ΦFET , 2008, 2008 IEEE International Electron Devices Meeting.

[50]  K. Kohmura,et al.  32 nm node Ultralow-k(k=2.1)/Cu Damascene Multilevel Interconnect using High-Porosity (50 %) High-Modulus (9 GPa) Self-Assembled Porous Silica , 2007, 2007 IEEE International Electron Devices Meeting.

[51]  Donggun Park,et al.  TSNWFET for SRAM cell application: Performance variation and process dependency , 2008, 2008 Symposium on VLSI Technology.

[52]  G. Burbach,et al.  Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[53]  Y. Sasaki,et al.  Conformal doping for FinFETs and precise controllable shallow doping for planar FET manufacturing by a novel B2H6/Helium Self-Regulatory Plasma Doping process , 2008, 2008 IEEE International Electron Devices Meeting.

[54]  Choong-Ho Lee,et al.  Vertical Structure NAND flash array integration with paired FinFET multi-bit scheme for high-density NAND flash memory application , 2008, 2008 Symposium on VLSI Technology.

[55]  William H. Arnold Toward 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography , 2008, SPIE Advanced Lithography.

[56]  Yee-Chia Yeo,et al.  Strained n-Channel FinFETs Featuring In Situ Doped Silicon – Carbon ( Si 1 − y C y ) Source and Drain Stressors With High Carbon Content , 2009 .

[57]  Yee-Chia Yeo,et al.  N-Channel (110)-Sidewall Strained FinFETs With Silicon–Carbon Source and Drain Stressors and Tensile Capping Layer , 2007, IEEE Electron Device Letters.

[58]  M. Shur,et al.  Low ballistic mobility in submicron HEMTs , 2002, IEEE Electron Device Letters.

[59]  Cheng-Han Wu,et al.  Manufacturing implementation of 32nm SRAM using ArF immersion with RET , 2008, SPIE Advanced Lithography.

[60]  S.C. Rustagi,et al.  High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices , 2006, IEEE Electron Device Letters.

[61]  E. Suzuki,et al.  Enhancing SRAM cell performance by using independent double-gate FinFET , 2008, 2008 IEEE International Electron Devices Meeting.

[62]  S. Koveshnikov,et al.  Addressing the gate stack challenge for high mobility InxGa1-xAs channels for NFETs , 2008, 2008 IEEE International Electron Devices Meeting.

[63]  Patrick Jaenen,et al.  Pitch doubling through dual-patterning lithography challenges in integration and litho budgets , 2007, SPIE Advanced Lithography.

[64]  S. Van Elshocht,et al.  Low Vt Ni-FUSI CMOS Technology using a DyO cap layer with either single or dual Ni-phases , 2007, 2007 IEEE Symposium on VLSI Technology.

[65]  D.S.H. Chan,et al.  Scalability and Reliability Characteristics of CVD HfO2 Gate Dielectrics with HfN Electrodes for Advanced CMOS Applications , 2007 .

[66]  Naoto Horiguchi,et al.  A 65 nm CMOS technology with a high-performance and low-leakage transistor, a 0.55 /spl mu/m/sup 2/ 6T-SRAM cell and robust hybrid-ULK/Cu interconnects for mobile multimedia applications , 2003, IEEE International Electron Devices Meeting 2003.

[67]  R. Daamen,et al.  The evolution of multi-level air gap integration towards 32 nm node interconnects , 2007 .

[68]  Chenming Hu,et al.  Nanoscale ultrathin body PMOSFETs with raised selective germanium source/drain , 2001, IEEE Electron Device Letters.

[69]  Chih-Wen Liu,et al.  Electron mobility enhancement in strained-germanium n-channel metal-oxide-semiconductor field-effect transistors , 2007 .

[70]  Sergiy Yulin,et al.  Accelerated lifetime metrology of EUV multilayer mirrors in hydrocarbon environments , 2008, SPIE Advanced Lithography.

[71]  Yee-Chia Yeo,et al.  Carrier Transport Characteristics of Sub-30 nm Strained N-Channel FinFETs Featuring Silicon-Carbon Source/Drain Regions and Methods for Further Performance Enhancement , 2006, 2006 International Electron Devices Meeting.

[72]  T. Hiramoto,et al.  Experimental study of mobility in [110]- and [100]-directed multiple silicon nanowire GAA MOSFETs on (100) SOI , 2008, 2008 Symposium on VLSI Technology.

[73]  S. Mahapatra,et al.  Optimization of Sub-Melt Laser Anneal: Performance and Reliability , 2006, 2006 International Electron Devices Meeting.

[74]  Takeshi Koshiba,et al.  Study of nanoimprint lithography for applications toward 22nm node CMOS devices , 2008, SPIE Advanced Lithography.

[75]  Gregg M. Gallatin Resist blur and line edge roughness (Invited Paper) , 2004, SPIE Advanced Lithography.

[76]  Huixiong Dai,et al.  Metrology characterization for self-aligned double patterning , 2008, SPIE Advanced Lithography.

[77]  T. Saraya,et al.  Uniaxial strain effects on silicon nanowire pMOSFET and single-hole transistor at room temperature , 2008, 2008 IEEE International Electron Devices Meeting.

[78]  M. Ieong,et al.  Investigation of FinFET Devices for 32nm Technologies and Beyond , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[79]  Sungki Park,et al.  Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool , 2007, SPIE Advanced Lithography.

[80]  Douglas J. Resnick,et al.  Minimizing linewidth roughness for 22-nm node patterning with step-and-flash imprint lithography , 2008, SPIE Advanced Lithography.

[81]  Runsheng Wang,et al.  New Observations on the Hot Carrier and NBTI Reliability of Silicon Nanowire Transistors , 2007, 2007 IEEE International Electron Devices Meeting.

[82]  Xuelong Shi Effect of Coulomb interaction and pKa on acid diffusion in chemically amplified resists , 1999 .

[83]  Junji Koga,et al.  Experimental study on carrier transport mechanisms in double- and single-gate ultrathin-body MOSFETs - Coulomb scattering, volume inversion, and /spl delta/T/sub SOI/-induced scattering , 2003, IEEE International Electron Devices Meeting 2003.

[84]  Tsann-Bim Chiou,et al.  Development of layout split algorithms and printability evaluation for double patterning technology , 2008, SPIE Advanced Lithography.

[85]  E. Soda,et al.  Direct CMP on Porous Low-k Film for Damage-less Cu Integration , 2006, 2006 International Interconnect Technology Conference.

[86]  Beng Kang Tay,et al.  Effect of film thickness on the stress and adhesion of diamond-like carbon coatings , 2002 .

[87]  Mark S. Lundstrom,et al.  Nanoscale Transistors: Device Physics, Modeling and Simulation , 2005 .

[88]  G. Bersuker,et al.  A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFET utilizing Si (1hannel for Both N and PMOSFETs , 2006, 2006 International Electron Devices Meeting.

[89]  Seiichi Kondo,et al.  Plasma Cure Process for Porous SiOCH Films using CF4 Gas , 2007 .

[90]  Torsten Feigl,et al.  Mo/Si multilayers with enhanced TiO2- and RuO2-capping layers , 2008, SPIE Advanced Lithography.

[91]  Atsushi Masuda,et al.  Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer , 2005, SPIE Advanced Lithography.

[92]  Y. Toyoshima,et al.  Impact of tantalum composition in TaC/HfSiON gate stack on device performance of aggressively scaled CMOS devices with SMT and strained CESL , 2008, 2008 Symposium on VLSI Technology.

[93]  D. Mocuta,et al.  High-performance nMOSFET with in-situ phosphorus-doped embedded Si:C (ISPD eSi:C) source-drain stressor , 2008, 2008 IEEE International Electron Devices Meeting.

[94]  T. Yamamoto,et al.  Advanced Junction Profile Engineering Featuring Laser Spike Annealing and Co-Implantation for Sub-30-nm Strained CMOS Devices , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[95]  Russell N. Grimes,et al.  Boron Clusters Come of Age. , 2004 .

[96]  C.C. Chen,et al.  Stress memorization technique (SMT) by selectively strained-nitride capping for sub-65nm high-performance strained-Si device application , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[97]  H. Shibata,et al.  Cost-effective air-gap interconnects by all-in-one post-removing process , 2008, 2008 International Interconnect Technology Conference.

[98]  William H. Arnold,et al.  Metrology challenges of double exposure and double patterning , 2007, SPIE Advanced Lithography.

[99]  Seiichi Kondo,et al.  Damageless Cu chemical mechanical polishing for porous SiOC/Cu interconnects , 2007 .

[100]  K. Chattopadhyay,et al.  In-situ Formation of a Copper Silicide Cap For TDDB and Electromigration Improvement , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[101]  C.H. Yu,et al.  Effect of Cu Line Capping Process on Stress Migration Reliability , 2006, 2006 International Interconnect Technology Conference.

[102]  Robert Socha,et al.  Simultaneous source mask optimization (SMO) , 2005, Photomask Japan.

[103]  Yang Chai,et al.  High electromigration-resistant copper/carbon nanotube composite for interconnect application , 2008, 2008 IEEE International Electron Devices Meeting.

[104]  Ru Huang,et al.  New Self-Aligned Silicon Nanowire Transistors on Bulk Substrate Fabricated by Epi-Free Compatible CMOS Technology: Process Integration, Experimental Characterization of Carrier Transport and Low Frequency noise , 2007, 2007 IEEE International Electron Devices Meeting.

[105]  C. Lavoie,et al.  Strained Si Channel MOSFETs with Embedded Silicon Carbon Formed by Solid Phase Epitaxy , 2007, 2007 IEEE Symposium on VLSI Technology.

[106]  A. Vanleenhove,et al.  A litho-only approach to double patterning , 2007, SPIE Advanced Lithography.

[107]  Ru Huang,et al.  A self-aligned, electrically separable double-gate MOS transistor technology for dynamic threshold voltage application , 2003 .

[108]  M. Heyns,et al.  High-Performance Deep Submicron Ge pMOSFETs With Halo Implants , 2007, IEEE Transactions on Electron Devices.

[109]  Yasushi Nishiyama,et al.  Carbon contamination of EUV mask: film characterization, impact on lithographic performance, and cleaning , 2008, SPIE Advanced Lithography.

[110]  Marie Krysak,et al.  Molecular glass resists for next-generation lithography , 2009, Advanced Lithography.

[111]  M. Raymond,et al.  22 nm technology compatible fully functional 0.1 μm2 6T-SRAM cell , 2008, 2008 IEEE International Electron Devices Meeting.

[112]  Syed Muhammad Zain Zafar,et al.  High-performance high-κ/metal gates for 45nm CMOS and beyond with gate-first processing , 2007, 2007 IEEE Symposium on VLSI Technology.

[113]  B. Ryu,et al.  High performance 5nm radius Twin Silicon Nanowire MOSFET (TSNWFET) : fabrication on bulk si wafer, characteristics, and reliability , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[114]  K. Suzuki,et al.  High Performance 60 nm Gate Length Germanium p-MOSFETs with Ni Germanide Metal Source/Drain , 2007, 2007 IEEE International Electron Devices Meeting.

[115]  Yee-Chia Yeo,et al.  Strained p-Channel FinFETs With Extended $\Pi$ -Shaped Silicon–Germanium Source and Drain Stressors , 2007, IEEE Electron Device Letters.

[116]  S. De Gendt,et al.  Low VT CMOS using doped Hf-based oxides, TaC-based Metals and Laser-only Anneal , 2007, 2007 IEEE International Electron Devices Meeting.

[117]  Jin-Woo Han,et al.  High speed Flash Memory and 1T-DRAM on dopant segregated Schottky barrier (DSSB) FinFET SONOS device for multi-functional SoC applications , 2008, 2008 IEEE International Electron Devices Meeting.

[118]  L. Perniola,et al.  Advantages of the FinFET architecture in SONOS and Nanocrystal memory devices , 2007, 2007 IEEE International Electron Devices Meeting.

[119]  G. Bersuker,et al.  Device and reliability improvement of HfSiON+LaOx/metal gate stacks for 22nm node application , 2008, 2008 IEEE International Electron Devices Meeting.

[120]  Clair Webb,et al.  Intel design for manufacturing and evolution of design rules , 2008, SPIE Advanced Lithography.

[121]  Sang-Kon Kim Double patterning study with inverse lithography , 2008, SPIE Advanced Lithography.

[122]  J.W. Hsu,et al.  NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[123]  S. Sugahara,et al.  Carrier-Transport-Enhanced Channel CMOS for Improved Power Consumption and Performance , 2008, IEEE Transactions on Electron Devices.

[124]  T. Takeuchi,et al.  High performance Cu interconnects with damage-less full molecular-pore-stack (MPS) SiOCH for 32nm-node LSIs and beyond , 2008, 2008 IEEE International Electron Devices Meeting.

[125]  Iwao Nishiyama,et al.  Atomic hydrogen cleaning of Ru-capped EUV multilayer mirror , 2007, SPIE Advanced Lithography.

[126]  Chenming Hu,et al.  Sub 50-nm FinFET: PMOS , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[127]  Peyman Milanfar,et al.  ILT for double exposure lithography with conventional and novel materials , 2007, SPIE Advanced Lithography.

[128]  S. Hirao,et al.  Extremely Low Keff (1.9) Cu Interconnects with Air Gap Formed Using SiOC , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[129]  Stephen Hsu,et al.  Understanding the forbidden pitch phenomenon and assist feature placement , 2002, SPIE Advanced Lithography.

[130]  T. Tezuka,et al.  Physical Understanding of Strain Effects on Gate Oxide Reliability of MOSFETs , 2007, 2007 IEEE Symposium on VLSI Technology.

[131]  J. Koga,et al.  Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors , 2006, 2006 International Electron Devices Meeting.

[132]  G. Deltoro,et al.  Copper interconnect: migration or bust , 1999, Twenty Fourth IEEE/CPMT International Electronics Manufacturing Technology Symposium (Cat. No.99CH36330).

[133]  J. Gelpey,et al.  Ultra-shallow junction formation using flash annealing and advanced doping techniques , 2008, Extended Abstracts - 2008 8th International Workshop on Junction Technology (IWJT '08).

[134]  Koichi Toyoda,et al.  CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography , 2008, SPIE Advanced Lithography.

[135]  Ru Huang,et al.  High-Performance BOI FinFETs Based on Bulk-Silicon Substrate , 2008, IEEE Transactions on Electron Devices.

[136]  P. Hashemi,et al.  Electron transport in Gate-All-Around uniaxial tensile strained-Si nanowire n-MOSFETs , 2008, 2008 IEEE International Electron Devices Meeting.

[137]  K. Suguro,et al.  Overview of the prospects of ultra-rapid thermal process for advanced CMOSFETs , 2004, The Fourth International Workshop on Junction Technology, 2004. IWJT '04..

[138]  T. Liu,et al.  The challenges and progress of USJ formation & process integration for 32nm technology and beyond , 2008, Extended Abstracts - 2008 8th International Workshop on Junction Technology (IWJT '08).

[139]  Jinfeng Kang,et al.  Ultrathin HfO 2 "EOT 0.75 nm… Gate Stack with TaN/HfN Electrodes Fabricated Using a High-Temperature Process , 2005 .

[140]  A. Chin,et al.  N-type Schottky barrier source/drain MOSFET using ytterbium silicide , 2004, IEEE Electron Device Letters.

[141]  G. Ghibaudo,et al.  Localized ultra-thin GeOI: An innovative approach to germanium channel MOSFETs on bulk Si substrates , 2008, 2008 IEEE International Electron Devices Meeting.

[142]  R. Loo,et al.  Record ION/IOFF performance for 65nm Ge pMOSFET and novel Si passivation scheme for improved EOT scalability , 2008, 2008 IEEE International Electron Devices Meeting.

[143]  C. Wann,et al.  Design and Fabrication of MOSFETs with a Reverse Embedded SiGe (Rev. e-SiGe) Structure , 2006, 2006 International Electron Devices Meeting.

[144]  Nelson Felix,et al.  Molecular glass resists for next generation lithography , 2006, SPIE Advanced Lithography.

[145]  Yuzuru Ohji,et al.  A proposal of new concept milli-second annealing: Flexibly-shaped-pulse flash lamp annealing (FSP-FLA) for fabrication of ultra shallow junction with improvement of metal gate high-k CMOS performance , 2008, 2008 Symposium on VLSI Technology.

[146]  Xing Zhang,et al.  Quasi-SOI MOSFETs—A Promising Bulk Device Candidate for Extremely Scaled Era , 2007, IEEE Transactions on Electron Devices.

[147]  Xuelong Shi,et al.  Understanding the forbidden pitch and assist feature placement , 2002, SPIE Photomask Technology.

[148]  S. Manakli,et al.  MAGIC: a European program to push the insertion of maskless lithography , 2008, SPIE Advanced Lithography.

[149]  S.H.G. Teo,et al.  Trap Layer Engineered Gate-All-Around Vertically Stacked Twin Si -Nanowire Nonvolatile Memory , 2007, 2007 IEEE International Electron Devices Meeting.

[150]  T. Iwamatsu,et al.  Sub-30-nm PMOSFET using Gas Cluster Ion Beam Boron Doping for 45-nm node CMOS and beyond , 2007, 2007 International Workshop on Junction Technology.

[151]  Kohji Hashimoto,et al.  A study of CD budget in spacer patterning technology , 2008, SPIE Advanced Lithography.

[152]  T. Matsukawa,et al.  15-nm-thick Si channel wall vertical double-gate MOSFET , 2002, Digest. International Electron Devices Meeting,.

[153]  S. Nakai,et al.  45 nm-node BEOL integration featuring porous-ultra-low-k/Cu multilevel interconnects , 2005, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005..

[154]  Amir Widmann,et al.  Enabling immersion lithography and double patterning , 2007, SPIE Advanced Lithography.

[155]  N. Singh,et al.  Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual Φm and VT tune-ability , 2008, 2008 IEEE International Electron Devices Meeting.

[156]  Yee-Chia Yeo,et al.  Pulsed Laser Annealing of Silicon-Carbon Source/Drain in MuGFETs for Enhanced Dopant Activation and High Substitutional Carbon Concentration , 2008, IEEE Electron Device Letters.

[157]  D. Frank,et al.  25 nm CMOS design considerations , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[158]  U. Langmann,et al.  Short-channel vertical sidewall MOSFETs , 2001 .

[159]  Chang-Moon Lim,et al.  Positive and negative tone double patterning lithography for 50nm flash memory , 2006, SPIE Advanced Lithography.

[160]  D.S.H. Chan,et al.  A Novel Dual-Metal Gate Integration Process for Sub-1nm EOT HfO2 CMOS Devices , 2004 .

[161]  M. Silberstein,et al.  A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors , 2003, IEEE International Electron Devices Meeting 2003.

[162]  T. Sugii,et al.  Copper Wiring Encapsulation with Ultra-thin Barriers to Enhance Wiring and Dielectric Reliabilities for 32-nm Nodes and Beyond , 2007, 2007 IEEE International Electron Devices Meeting.

[163]  T. Takewaki,et al.  A Novel Resistivity Measurement Technique for Scaled-down Cu Interconnects Implemented to Reliability-focused Automobile Applications , 2006, 2006 International Electron Devices Meeting.

[164]  B. Yang,et al.  FinFET performance advantage at 22nm: An AC perspective , 2008, 2008 Symposium on VLSI Technology.

[165]  W. Haensch,et al.  Demonstration of highly scaled FinFET SRAM cells with high-κ/metal gate and investigation of characteristic variability for the 32 nm node and beyond , 2008, 2008 IEEE International Electron Devices Meeting.

[166]  K. Yahashi,et al.  Sidewall transfer process and selective gate sidewall spacer formation technology for sub-15nm finfet with elevated source/drain extension , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[167]  T. Nagumo,et al.  Pushing Planar Bulk CMOSFET Scaling to its Limit by Ultimately Shallow Diffusion-Less Junction , 2007, 2007 IEEE International Electron Devices Meeting.

[168]  Ru Huang,et al.  Experimental study on quasi-ballistic transport in silicon nanowire transistors and the impact of self-heating effects , 2008, 2008 IEEE International Electron Devices Meeting.

[169]  Ming Zhu,et al.  5 nm gate length Nanowire-FETs and planar UTB-FETs with pure germanium source/drain stressors and laser-free Melt-Enhanced Dopant (MeltED) diffusion and activation technique , 2008, 2008 Symposium on VLSI Technology.

[170]  J. Gill,et al.  Reliability of Cu Interconnects with Ta Implant , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[171]  R. Rooyackers,et al.  First observation of FinFET specific mismatch behavior and optimization guidelines for SRAM scaling , 2008, 2008 IEEE International Electron Devices Meeting.

[172]  Donggun Park,et al.  Gate-all-around single silicon nanowire MOSFET with 7 nm width for SONOS NAND flash memory , 2008, 2008 Symposium on VLSI Technology.

[173]  Chih-Sheng Chang,et al.  Temperature dependent channel backscattering coefficients in nanoscale MOSFETs , 2002, Digest. International Electron Devices Meeting,.

[174]  Ming Zhu,et al.  Laser Annealing of Amorphous Germanium on Silicon–Germanium Source/Drain for Strain and Performance Enhancement in pMOSFETs , 2008, IEEE Electron Device Letters.

[175]  Horng-Chih Lin,et al.  The impact of uniaxial strain engineering on channel backscattering in nanoscale MOSFETs , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[176]  B. Haran,et al.  An alternative low resistance MOL technology with electroplated rhodium as contact plugs for 32nm CMOS and beyond , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[177]  H.-S.P. Wong,et al.  An experimental study on transport issues and electrostatics of ultrathin body SOI pMOSFETs , 2002, IEEE Electron Device Letters.

[178]  J. Widiez,et al.  Materials Science-based Device Performance Engineering for Metal Gate High-k CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[179]  Sesha Varadarajan,et al.  Self-Aligned Barrier : Improves Interconnect Reliability , 2008 .

[180]  Daniel C. Edelstein,et al.  Self-Assembly Based Air-Gap Integration , 2008 .

[181]  Jong-Ho Lee,et al.  Super self-aligned double-gate (SSDG) MOSFETs utilizing oxidation rate difference and selective epitaxy , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).