A new method for design of robust digital circuits

As technology continues to scale beyond 100 nm, there is a significant increase in performance uncertainty of CMOS logic due to process and environmental variations. Traditional circuit optimization methods assuming deterministic gate delays produce a flat "wall" of equally critical paths, resulting in variation-sensitive designs. This paper describes a new method for sizing of digital circuits, with uncertain gate delays, to minimize their performance variation leading to a higher parametric yield. The method is based on adding margins on each gate delay to account for variations and using a new "soft maximum" function to combine path delays at converging nodes. Using analytic models to predict the means and standard deviations of gate delays as polynomial functions of the device sizes, we create a simple, computationally efficient heuristic for uncertainty-aware sizing of digital circuits via geometric programming. Monte-Carlo simulations on custom 32 bit adders and ISCAS'85 benchmarks show that about 10 % to 20 % delay reduction over deterministic sizing methods can be achieved, without any additional cost in area.

[1]  E.T.A.F. Jacobs,et al.  Gate sizing using a statistical delay model , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

[2]  Philip N. Strenski,et al.  Gradient-based optimization of custom circuits using a static-timing formulation , 1999, DAC '99.

[3]  Simon Knowles,et al.  A family of adders , 1999, Proceedings 14th IEEE Symposium on Computer Arithmetic (Cat. No.99CB36336).

[4]  Robert G. Meyer,et al.  An engineering model for short-channel MOS devices , 1988 .

[5]  Marcel J. M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[6]  A. Gattiker,et al.  Timing yield estimation from static timing analysis , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

[7]  Sarma B. K. Vrudhula,et al.  A methodology to improve timing yield in the presence of process variations , 2004, Proceedings. 41st Design Automation Conference, 2004..

[8]  Michael Orshansky,et al.  Fast statistical timing analysis handling arbitrary delay correlations , 2004, Proceedings. 41st Design Automation Conference, 2004..

[9]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Stephen P. Boyd,et al.  A tutorial on geometric programming , 2007, Optimization and Engineering.

[11]  S.R. Nassif Within-chip variability analysis , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[12]  C. Hu,et al.  Predicting CMOS speed with gate oxide and voltage scaling and interconnect loading effects , 1997 .

[13]  Sung-Mo Kang,et al.  An exact solution to the transistor sizing problem for CMOS circuits using convex optimization , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[15]  Masanori Hashimoto,et al.  A performance optimization method by gate sizing using statistical static timing analysis , 2000, ISPD '00.

[16]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[17]  Stephen P. Boyd,et al.  A heuristic for optimizing stochastic activity networks with applications to statistical digital circuit sizing , 2007 .

[18]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  Philip N. Strenski,et al.  Uncertainty-aware circuit optimization , 2002, DAC '02.

[20]  Mark Horowitz,et al.  Timing Models for MOS Circuits , 1983 .

[21]  Daniela De Venuto,et al.  International Symposium on Quality Electronic Design , 2005, Microelectronics Journal.

[22]  Stephen P. Boyd,et al.  Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.