Substrate Noise Coupling in SoC Design: Modeling, Avoidance, and Validation
暂无分享,去创建一个
Ali Afzali-Kusha | David J. Allstot | Makoto Nagata | Nishath K. Verghese | D. Allstot | A. Afzali-Kusha | N. Verghese | M. Nagata
[1] K. Makie-Fukuda,et al. On-chip active guard band filters to suppress substrate-coupling noise in analog and digital mixed-signal integrated circuits , 1999, 1999 Symposium on VLSI Circuits. Digest of Papers (IEEE Cat. No.99CH36326).
[2] Mohamed I. Elmasry,et al. A novel analytical model for evaluation of substrate crosstalk in VLSI circuits , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
[3] Jaijeet Roychowdhury,et al. Efficient multi-tone distortion analysis of analog integrated circuits , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.
[4] H. De Man,et al. Methodology and experimental verification for substrate noise reduction in CMOS mixed-signal ICs with synchronous digital circuits , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[5] David J. Allstot,et al. Fast parasitic extraction for substrate coupling in mixed-signal ICs , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.
[6] Atsushi Iwata,et al. Measurements and analyses of substrate noise waveform inmixed-signal IC environment , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[7] D. A. Dunnett. Classical Electrodynamics , 2020, Nature.
[8] Ali M. Niknejad,et al. Numerically stable Green function for modeling and analysis of substrate coupling in integrated circuits , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[9] Zeljko Zilic,et al. Estimating phase-locked loop jitter due to substrate coupling: a cyclostationary approach , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[10] A. J. Rainal. Eliminating inductive noise of external chip interconnections , 1994 .
[11] Miquel Roca,et al. Analysis and modelling of parasitic substrate coupling in CMOS circuits , 1995 .
[12] P. Wambacq,et al. Analysis and experimental verification of digital substrate noise generation for epi-type substrates , 2000, IEEE Journal of Solid-State Circuits.
[13] Toshiro Tsukada,et al. Voltage-comparator-based measurement of equivalently sampled substrate noise waveforms in mixed-signal integrated circuits , 1996 .
[14] N. P. van der Meijs,et al. Combined BEM/FEM substrate resistance modeling , 2002, DAC '02.
[15] M. Chrzanowska-Jeske,et al. Substrate noise modeling in early floorplanning of MS-SOCs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[16] T.S. Fiez,et al. A comparison of substrate noise coupling in lightly and heavily doped CMOS processes for 2.4-GHz LNAs , 2006, IEEE Journal of Solid-State Circuits.
[17] Qiuting Huang,et al. A post processing method for reducing substrate coupling in mixed-signal integrated circuits , 1995, Digest of Technical Papers., Symposium on VLSI Circuits..
[18] Atsushi Iwata,et al. Substrate crosstalk analysis in mixed signal CMOS integrated circuits , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
[19] W. T. Holman,et al. Active substrate coupling noise reduction method for ICs , 1999 .
[20] Xavier Aragones,et al. Experimental comparison of substrate noise coupling using different wafer types , 1999 .
[21] Alberto L. Sangiovanni-Vincentelli,et al. Modeling digital substrate noise injection in mixed-signal IC's , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] T. Morie,et al. Modeling substrate noise generation in CMOS digital integrated circuits , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[23] Denis Flandre,et al. Potential of SOI for analog and mixed analog-digital low-power applications , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.
[24] João Paulo Costa,et al. Efficient techniques for accurate modeling and simulation of substrate coupling in mixed-signal IC's , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[25] Manolis Terrovitis,et al. An 802.11g WLAN SoC , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[26] J.N. Burghartz,et al. Through-substrate trenches for RF isolation in wafer-level chip-scale package , 2004, Proceedings of 6th Electronics Packaging Technology Conference (EPTC 2004) (IEEE Cat. No.04EX971).
[27] G. Coram,et al. Preventing a "noisequake" [substrate noise analysis] , 2001, IEEE Circuits and Devices Magazine.
[28] Cheng-Kok Koh,et al. Power supply noise suppression via clock skew scheduling , 2002, Proceedings International Symposium on Quality Electronic Design.
[29] Malgorzata Marek-Sadowska,et al. Clock skew optimization for ground bounce control , 1996, ICCAD 1996.
[30] Marc van Heijningen,et al. High-level simulation of substrate noise generation including power supply noise coupling , 2000, Proceedings 37th Design Automation Conference.
[31] Measuring mixed-signal substrate coupling , 2001, IEEE Trans. Instrum. Meas..
[32] G. Van der Plas,et al. Modeling and experimental verification of substrate coupling and isolation techniques in mixed-signal ICs on a lightly-doped substrate , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[33] David Z. Pan,et al. Fast substrate noise-aware floorplanning with preference directed graph for mixed-signal SOCs , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[34] D. Wouter,et al. Groundbounce in CMOS , 1994 .
[35] João Paulo Costa,et al. Efficient techniques for accurate extraction and modeling of substrate coupling in mixed-signal IC's , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[36] J. M. Casalta,et al. Substrate coupling evaluation in BiCMOS technology , 1997 .
[37] Erich Barke,et al. Placing substrate contacts into mixed-signal circuits controlling circuit performance , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[38] E. Charbon,et al. SUBWAVE: a methodology for modeling digital substrate noise injection in mixed-signal ICs , 1996, Proceedings of Custom Integrated Circuits Conference.
[39] Toshiro Tsukada,et al. Approaches to Reducing Digital-Noise Coupling in CMOS Mixed-Signal LSIs (Special Section on Analog Circuit Techniques for System-on-Chip Integration) , 1997 .
[40] Chungpin Liao,et al. Crosstalk suppression in mixed-mode ICs by the /spl pi/ technology and the future with an SOC integration platform: particle-beam stand (PBS) , 2003 .
[41] Cheng-hsien Hu. VLSI Electronics: Microstructure Science , 1981 .
[42] T. Smedes,et al. Substrate Resistance Extraction for Physics-based Layout Verification , 1993 .
[43] Robert G. Meyer,et al. Modeling and analysis of substrate coupling in integrated circuits , 1996 .
[44] H.H.Y. Chan,et al. Substrate coupled noise reduction and active noise suppression circuits for mixed-signal system-on-a-chip designs , 2001, Proceedings of the 44th IEEE 2001 Midwest Symposium on Circuits and Systems. MWSCAS 2001 (Cat. No.01CH37257).
[45] Rob A. Rutenbar,et al. Addressing noise decoupling in mixed-signal IC's: power distribution design and cell customization , 1995 .
[46] T. Matsuura,et al. Substrate noise reduction using active guard band filters in mixed-signal integrated circuits , 1995, Digest of Technical Papers., Symposium on VLSI Circuits..
[47] A. Pun,et al. Substrate noise coupling through planar spiral inductor , 1998 .
[48] Kartikeya Mayaram,et al. On the numerical stability of Green's function for substrate coupling in integrated circuits , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[49] Zhaofeng Zhang,et al. Interference issues in silicon RFIC design , 1998, 1998 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium. Digest of Papers (Cat. No.98CH36182).
[50] Antonio Rubio,et al. TCMOS: low noise power supply technique for digital ICs , 1995 .
[51] Andrew T. Yang,et al. Stable and efficient reduction of substrate model networks using congruence transforms , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[52] Rob A. Rutenbar,et al. Addressing substrate coupling in mixed-mode ICs: simulation and power distribution synthesis , 1994, IEEE J. Solid State Circuits.
[53] Takashi Morie,et al. Physical design guides for substrate noise reduction in CMOS digital circuits , 2001 .
[54] C. Lin,et al. A new efficient method for substrate-aware device-level placement (short paper) , 2000, ASP-DAC '00.
[55] D. Pehlke,et al. Extremely high-Q tunable inductor for Si-based RF integrated circuit applications , 1997, International Electron Devices Meeting. IEDM Technical Digest.
[56] Mark Ingels,et al. Design strategies and decoupling techniques for reducing the effects of electrical interference in mixed-mode IC's , 1997 .
[57] Jacob K. White,et al. Efficient Steady-State Analysis Based on Matrix-Free Krylov-Subspace Methods , 1995, 32nd Design Automation Conference.
[58] Domine Leenaerts,et al. A new efficient method for substrate-aware device-level placement , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
[59] M. Hotta,et al. Voltage-comparator-based measurement of equivalently sampled substrate noise waveform in mixed-signal integrated circuits , 1995, Digest of Technical Papers., Symposium on VLSI Circuits..
[60] Rob A. Rutenbar,et al. Substrate-aware mixed-signal macrocell placement in WRIGHT , 1995 .
[61] Ranjit Gharpurey. A methodology for measurement and characterization of substrate noise in high frequency circuits , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).
[62] Makoto Nagata,et al. A substrate noise analysis methodology for large-scale mixed-signal ICs , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[63] Alberto L. Sangiovanni-Vincentelli,et al. Substrate optimization based on semi-analytical techniques , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[64] Masao Hotta,et al. Measurement of digital noise in mixed-signal integrated circuits , 1995 .
[65] Welch,et al. A simple approach to modeling cross-talk in integrated circuits , 1993 .
[66] D.A. White,et al. Full-wave simulation of electromagnetic coupling effects in RF and mixed-signal ICs using a time-domain finite-element method , 2004, IEEE Transactions on Microwave Theory and Techniques.
[67] M. Nagata,et al. Substrate integrity beyond 1 GHz , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[68] P. Larsson,et al. Measurements and analysis of PLL jitter caused by digital switching noise , 2001, Proceedings of the 26th European Solid-State Circuits Conference.
[69] R. A. Rohrer,et al. A new efficient method for the transient simulation of three-dimensional interconnect structures , 1990, International Technical Digest on Electron Devices.
[70] Bruce A. Wooley,et al. The effects of switching noise on an oversampling A/D converter , 1995, Proceedings ISSCC '95 - International Solid-State Circuits Conference.
[71] J. Catrysse. Measured distortion of the output-waveform of an integrated OPAMP due to substrate noise , 1995 .
[72] T. Kadoyama,et al. A complete single-chip GPS receiver with 1.6-V 24-mW radio in 0.18-/spl mu/m CMOS , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).
[73] David J. Allstot,et al. Synthesis techniques for CMOS folded source-coupled logic circuits , 1992 .
[74] A. T. Yang,et al. Substrate coupling analysis and simulation for an industrial phase-locked loop , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).
[75] H. De Man,et al. Substrate noise generation in complex digital systems: efficient modeling and simulation methodology and experimental verification , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[76] Robert Weigel,et al. SubCALM: a program for hierarchical substrate coupling simulation on floorplan level , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[77] Didier Belot,et al. A Bluetooth radio in 0.18 μm CMOS , 2002 .
[78] B. Wooley,et al. Measuring and modeling the effects of substrate noise on the LNA for a CMOS GPS receiver , 2001, IEEE J. Solid State Circuits.
[79] Hannu Tenhunen,et al. Modeling and analysis of substrate coupled noise in pipelined data converters , 2000, 2000 Southwest Symposium on Mixed-Signal Design (Cat. No.00EX390).
[80] Jacob K. White,et al. Multilevel integral equation methods for the extraction of substrate coupling parameters in mixed-signal IC's , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[81] Nagata,et al. A Macroscopic Substrate Noise Model For Full Chip Mixed-signal Design Verification , 1997, Symposium 1997 on VLSI Circuits.
[82] Payam Heydari. Characterizing the effects of the PLL jitter due to substrate noise in discrete-time delta-sigma modulators , 2005, IEEE Transactions on Circuits and Systems I: Regular Papers.
[83] Kaustav Banerjee,et al. Modeling techniques and verification methodologies for substrate coupling effects in mixed-signal system-on-chip designs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[84] S. Masui. Simulation of substrate coupling in mixed-signal MOS circuits , 1992, 1992 Symposium on VLSI Circuits Digest of Technical Papers.
[85] T. Morie,et al. Reduced substrate noise digital design for improving embedded analog performance , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[86] D. J. Allstot,et al. Computer-aided design considerations for mixed-signal coupling in RF integrated circuits , 1998 .
[87] Makoto Nagata,et al. Substrate Noise Simulation Techniques for Analog-Digital Mixed LSI Design , 1999 .
[88] J. L. Prince,et al. Application specific CMOS output driver circuit design techniques to reduce simultaneous switching noise , 1993 .
[89] S. Setty,et al. A Bluetooth radio in 0.18 /spl mu/m CMOS , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[90] Payam Heydari. Analysis of the PLL jitter due to power/ground and substrate noise , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.
[91] G. A. Rezvani,et al. Substrate isolation in 0.18um CMOS technology , 2005, Proceedings of the 2005 International Conference on Microelectronic Test Structures, 2005. ICMTS 2005..
[92] K.H. Kwan,et al. Simulation and analysis of substrate coupling in realistically-large mixed-A/D circuits , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.
[93] Wenjian Yu,et al. Substrate resistance extraction with direct boundary element method , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[94] David J. Allstot,et al. Folded source-coupled logic vs. CMOS static logic for low-noise mixed-signal ICs , 1993 .
[95] Kartikeya Mayaram,et al. An efficient formulation for substrate parasitic extraction accounting for nonuniform current distribution , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.
[96] Alex Doboli,et al. Fast evaluation of digital switching noise for synthesis of mixed-signal applications , 2001, Proceedings of the Fifth IEEE International Workshop on Behavioral Modeling and Simulation. BMAS 2001 (Cat No.01TH8601).
[97] Sayfe Kiaei,et al. Enhancement source-coupled logic for mixed-mode VLSI circuits , 1992 .
[98] L. Forbes,et al. Resonant forward-biased guard-ring diodes for suppression of substrate noise in mixed-mode CMOS circuits , 1995 .
[99] M. O'Nils,et al. Taking mixed-signal substrate noise coupling simulation to the behavioral level using SystemC , 2004, 4th IEEE International Workshop on System-on-Chip for Real-Time Applications.
[100] David J. Allstot,et al. Noise considerations for mixed‐signal RF IC transceivers , 1998, Wirel. Networks.
[101] R.A. Keating,et al. RF substrate noise characterization for CMOS 0.18 /spl mu/m , 2004, 2004 RF and Microwave Conference (IEEE Cat. No.04EX924).
[102] H. Tenhunen,et al. A simple macromodeling approach for analysing substrate coupling in an RF mixer IC , 2003, ASIC, 2003. Proceedings. 5th International Conference on.
[103] Maher Kayal,et al. LAYIN: toward a global solution for parasitic coupling modeling and visualization , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.
[104] Shoichi Masui,et al. Experimental results and modeling techniques for substrate noise in mixed-signal integrated circuits , 1993 .
[105] Bruce A. Wooley,et al. Measuring and modeling the effects of substrate noise on the LNA for a CMOS GPS receiver , 2000 .
[106] Trond Ytterdal,et al. Substrate Noise Coupling models for Behavioral Mixed-Signal Simulation in SystemC , 2004 .
[107] Kenji Shimazaki,et al. LEMINGS: LSI's EMI-noise analysis with gate level simulator , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).
[108] Robert G. Meyer,et al. Modeling and analysis of substrate coupling in integrated circuits , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.
[109] Bor-Yuan Hwang,et al. Comparison of Soi Versus Bulk Silicon Substrate Crosstalk Properties for Mixed-Mode IC's , 1992, 1992 IEEE International SOI Conference.
[110] David J. Allstot,et al. Verification techniques for substrate coupling and their application to mixed-signal IC design , 1996 .
[111] Joseph D. Kanapka. Fast methods for extraction and sparsification of substrate coupling , 2000, Proceedings 37th Design Automation Conference.
[112] A. Iwata,et al. Isolation strategy against substrate coupling in CMOS mixed-signal/RF circuits , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[113] Paolo Maffezzoni,et al. Analysis of substrate coupling by means of a stochastic method , 2002, IEEE Electron Device Letters.
[114] Robert W. Dutton,et al. Synthesized compact model and experimental results for substrate noise coupling in lightly doped processes , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
[115] Kartikeya Mayaram,et al. An accurate and efficient estimation of switching noise in synchronous digital circuits , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[116] Zuochang Ye,et al. Efficient 3-d capacitance extraction considering lossy substrate with multilayered green's function , 2006 .
[117] T. Morie,et al. Effects of power-supply parasitic components on substrate noise generation in large-scale digital circuits , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).
[118] Hugo De Man,et al. SWAN: high-level simulation methodology for digital substrate noise generation , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[119] M.K. Mayes,et al. All Verilog mixed-signal simulator with analog behavioral and noise models , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.
[120] Luís Miguel Silveira,et al. Multigrid-based substrate coupling model extraction , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[121] T.S. Fiez,et al. Modeling of substrate noise coupling for nMOS transistors in heavily doped substrates , 2005, IEEE Transactions on Electron Devices.
[122] G. H. Warren,et al. Noise, crosstalk and distortion in mixed analog/digital integrated circuits , 1988, Proceedings of the IEEE 1988 Custom Integrated Circuits Conference.
[123] D. J. Allstot,et al. Rapid simulation of substrate coupling effects in mixed-mode ICs , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.
[124] R. B. Merrill,et al. Effect of substrate material on crosstalk in mixed analog/digital integrated circuit , 1994, Proceedings of 1994 IEEE International Electron Devices Meeting.
[125] J. Briaire,et al. Principles of substrate crosstalk generation in CMOS circuits , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[126] David J. Allstot,et al. Simulation techniques and solutions for mixed-signal coupling in integrated circuits , 1994 .
[127] Rob A. Rutenbar,et al. A methodology for rapid estimation of substrate-coupled switching noise , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.
[128] M. Kayal,et al. CMOS current steering logic: Toward a matured technique for mixed-mode applications , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.