Overview of candidate device technologies for storage-class memory

Storage-class memory (SCM) combines the benefits of a solid-state memory, such as high performance and robustness, with the archival capabilities and low cost of conventional hard-disk magnetic storage. Such a device would require a solid-state nonvolatile memory technology that could be manufactured at an extremely high effective areal density using some combination of sublithographic patterning techniques, multiple bits per cell, and multiple layers of devices. We review the candidate solid-state nonvolatile memory technologies that potentially could be used to construct such an SCM. We discuss evolutionary extensions of conventional flash memory, such as SONOS (silicon-oxide-nitride-oxide-silicon) and nanotraps, as well as a number of revolutionary new memory technologies. We review the capabilities of ferroelectric, magnetic, phase-change, and resistive random-access memories, including perovskites and solid electrolytes, and finally organic and polymeric memory. The potential for practical scaling to ultrahigh effective areal density for each of these candidate technologies is then compared.

[1]  M. Durlam,et al.  MRAM Memory for Embedded and Stand Alone Systems , 2007, 2007 IEEE International Conference on Integrated Circuit Design and Technology.

[2]  B. Eitan,et al.  Multilevel flash cells and their trade-offs , 1996, International Electron Devices Meeting. Technical Digest.

[3]  Dongsoo Lee,et al.  Excellent uniformity and reproducible resistance switching characteristics of doped binary metal oxides for non-volatile resistance memory applications , 2006, 2006 International Electron Devices Meeting.

[4]  Kinam Kim,et al.  A NOVEL ATE (ADDITIONAL TOP-ELECTRODE) SCHEME FOR A 1.6 V FRAM EMBEDDED DEVICE AT 180 NM TECHNOLOGY , 2007 .

[5]  W. E. Beadle,et al.  Switching properties of thin Nio films , 1964 .

[6]  Chang Woo Oh,et al.  4-Bit Double SONOS Memories (DSMs) Using Single-Level and Multi-Level Cell Schemes , 2006, 2006 International Electron Devices Meeting.

[7]  P. Schrogmeier,et al.  Time Discrete Voltage Sensing and Iterative Programming Control for a 4F2 Multilevel CBRAM , 2007, 2007 IEEE Symposium on VLSI Circuits.

[8]  Kinam Kim,et al.  Integration of lead zirconium titanate thin films for high density ferroelectric random access memory , 2006 .

[9]  J. Ouyang,et al.  Electrical Switching and Bistability in Organic/Polymeric Thin Films and Memory Devices , 2006 .

[10]  Kinam Kim,et al.  A novel SONOS structure of SiO/sub 2//SiN/Al/sub 2/O/sub 3/ with TaN metal gate for multi-giga bit flash memories , 2003, IEEE International Electron Devices Meeting 2003.

[11]  Daisaburo Takashima,et al.  High-density chain ferroelectric random access memory (chain FRAM) , 1997 .

[12]  S. Gevorgian,et al.  Ferroelectric thin films: Review of materials, properties, and applications , 2006 .

[13]  Sunghyun Kwon,et al.  Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process , 2007, 2007 IEEE/SEMI Advanced Semiconductor Manufacturing Conference.

[14]  Christopher A. Mills,et al.  A Memory Effect in the Current-Voltage Characteristic of a Low-Bandgap Conjugated Polymer , 2001 .

[15]  B. Kurdi,et al.  The micro to nano addressing block (MNAB) , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[16]  A. Panchula,et al.  Magnetically engineered spintronic sensors and memory , 2003, Proc. IEEE.

[17]  M. Kozicki,et al.  Nanoscale memory elements based on solid-state electrolytes , 2005, IEEE Transactions on Nanotechnology.

[18]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[19]  B. Pradhan,et al.  Electrical bistability and memory phenomenon in carbon nanotube-conjugated polymer matrixes. , 2006, The journal of physical chemistry. B.

[20]  H. Hwang,et al.  Resistance switching of copper doped MoOx films for nonvolatile memory applications , 2007 .

[21]  B. Gleixner,et al.  A 90nm Phase Change Memory Technology for Stand-Alone Non-Volatile Memory Applications , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[22]  Yan Song,et al.  Non‐Volatile Polymer Memory Device Based on a Novel Copolymer of N‐Vinylcarbazole and Eu‐Complexed Vinylbenzoate , 2005 .

[23]  J. Bokor,et al.  FinFET SONOS flash memory for embedded applications , 2003, IEEE International Electron Devices Meeting 2003.

[24]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[25]  Kinam Kim,et al.  Technology for sub-50nm DRAM and NAND flash manufacturing , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[26]  Y. Kato,et al.  0.18-/spl mu/m nondestructive readout FeRAM using charge compensation technique , 2005, IEEE Transactions on Electron Devices.

[27]  Philip M. Rice,et al.  Organic Materials and Thin‐Film Structures for Cross‐Point Memory Cells Based on Trapping in Metallic Nanoparticles , 2005 .

[28]  J. C. Scott,et al.  Nonvolatile Memory Elements Based on Organic Materials , 2007 .

[29]  Takao Kanehara,et al.  Reduction of Process-induced Damage and Improvement of Imprint Characteristics in SrBi2Ta2O9 Capacitors by Postmetallization Annealing , 2007 .

[30]  Thomas H. Lee,et al.  512-Mb PROM with a three-dimensional array of diode/antifuse memory cells , 2003 .

[31]  Chih-Yuan Lu,et al.  Data retention behavior of a SONOS type two-bit storage flash memory cell , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[32]  Y. Iwata,et al.  Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory , 2007, 2007 IEEE Symposium on VLSI Technology.

[33]  C. Gerber,et al.  Reproducible switching effect in thin oxide films for memory applications , 2000 .

[34]  Giovanni Campardo,et al.  Special issue on flash memory technology , 2003 .

[35]  Jae-Duk Lee,et al.  Effects of floating-gate interference on NAND flash memory cell operation , 2002, IEEE Electron Device Letters.

[36]  Luc Thomas,et al.  Resonant Amplification of Magnetic Domain-Wall Motion by a Train of Current Pulses , 2007, Science.

[37]  E. Lai,et al.  A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability , 2007, 2007 IEEE Symposium on VLSI Technology.

[38]  S.Y. Lee,et al.  130 nm-technology, 0.25 μm2, 1T1C FRAM cell for SoC (system-on-a-chip)-friendly applications , 2007, 2007 IEEE Symposium on VLSI Technology.

[39]  K. Remack,et al.  Full-Bit Functional, High-Density 8 Mbit One Transistor–One Capacitor Ferroelectric Random Access Memory Embedded within a Low-Power 130 nm Logic Process , 2007 .

[40]  J. C. Sloncxewski Current-driven excitation of magnetic multilayers , 2003 .

[41]  A. Sheikholeslami,et al.  A survey of circuit innovations in ferroelectric random-access memories , 2000, Proceedings of the IEEE.

[43]  H. Ohno,et al.  A novel SPRAM (SPin-transfer torque RAM) with a synthetic ferrimagnetic free layer for higher immunity to read disturbance and reducing write-current dispersion , 2007, 2007 IEEE Symposium on VLSI Technology.

[44]  U. Chung,et al.  Band Engineered Charge Trap Layer for highly Reliable MLC Flash Memory , 2007, 2007 IEEE Symposium on VLSI Technology.

[45]  Yang Yang,et al.  Organic Donor–Acceptor System Exhibiting Electrical Bistability for Use in Memory Devices , 2005, Advanced materials.

[46]  S. O. Park,et al.  Highly scalable nonvolatile resistive memory using simple binary oxide driven by asymmetric unipolar voltage pulses , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[47]  Y. Inoue,et al.  High Speed Unipolar Switching Resistance RAM (RRAM) Technology , 2006, 2006 International Electron Devices Meeting.

[48]  M. Julliere Tunneling between ferromagnetic films , 1975 .

[49]  C.T. Swift,et al.  A 6 V embedded 90 nm silicon nanocrystal nonvolatile memory , 2003, IEEE International Electron Devices Meeting 2003.

[50]  S. Parkin Spintronic materials and devices: past, present and future! , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[51]  Kinam Kim,et al.  Future Outlook of NAND Flash Technology for 40nm Node and Beyond , 2006, 2006 21st IEEE Non-Volatile Semiconductor Memory Workshop.

[52]  Shu-Yau Wu,et al.  A new ferroelectric memory device, metal-ferroelectric-semiconductor transistor , 1974 .

[53]  Shih-Hung Chen,et al.  Phase-change random access memory: A scalable technology , 2008, IBM J. Res. Dev..

[54]  Jungdal Choi,et al.  Effects of floating-gate interference on NAND flash memory cell operation , 2002 .

[55]  J. Kim,et al.  Full Integration of Highly Manufacturable 512Mb PRAM based on 90nm Technology , 2006, 2006 International Electron Devices Meeting.

[56]  Tengyu Ma,et al.  Why is nonvolatile ferroelectric memory field-effect transistor still elusive? , 2002, IEEE Electron Device Letters.

[57]  B. Dieny,et al.  Thermally assisted switching in exchange-biased storage layer magnetic tunnel junctions , 2004, IEEE Transactions on Magnetics.

[58]  Heng-Yuan Lee,et al.  Low-Power Switching of Nonvolatile Resistive Memory Using Hafnium Oxide , 2007 .

[59]  Edward Grochowski,et al.  Technological impact of magnetic hard disk drives on storage systems , 2003, IBM Syst. J..

[60]  Hiroki Koike,et al.  High tolerance operation of 1T/2C FeRAMs for the variation of cell capacitors characteristics , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).

[61]  Anirban Bandyopadhyay,et al.  Key to design functional organic molecules for binary operation with large conductance switching , 2003 .

[62]  H. Pagnia,et al.  Bistable switching in electroformed metal–insulator–metal devices† , 1988 .

[63]  M. Kozicki,et al.  Programmable metallization cell memory based on Ag-Ge-S and Cu-Ge-S solid electrolytes , 2005, Symposium Non-Volatile Memory Technology 2005..

[64]  B. E. White,et al.  Embedded 90 nm Silicon Nanocrystal Nonvolatile Memory , 2003 .

[65]  Byung Joon Choi,et al.  Resistive switching mechanism of TiO2 thin films grown by atomic-layer deposition , 2005 .

[66]  Raj René Janssen,et al.  Electronic Memory Effects in a Sexithiophene−Poly(ethylene oxide) Block Copolymer Doped with NaCl. Combined Diode and Resistive Switching Behavior , 2006 .

[67]  K. Rabe,et al.  Physics of thin-film ferroelectric oxides , 2005, cond-mat/0503372.

[68]  S. Lai,et al.  Current status of the phase change memory and its future , 2003, IEEE International Electron Devices Meeting 2003.

[69]  Ute Drechsler,et al.  Transition-metal-oxide-based resistance-change memories , 2008, IBM J. Res. Dev..

[70]  L. Y. Chen,et al.  Reproducible unipolar resistance switching in stoichiometric ZrO2 films , 2007 .

[71]  J. Simmons,et al.  New conduction and reversible memory phenomena in thin insulating films , 1967, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[72]  Stefan K. Lai,et al.  Flash memories: Successes and challenges , 2008, IBM J. Res. Dev..

[73]  R. Symanczyk,et al.  Conductive bridging RAM (CBRAM): an emerging non-volatile memory technology scalable to sub 20nm , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[74]  Richard S. Potember,et al.  Electrical switching and memory phenomena in Cu‐TCNQ thin films , 1979 .

[75]  David A. Patterson,et al.  Latency lags bandwith , 2004, CACM.

[76]  M. Aoki,et al.  Reduction of Reset Current in NiO-ReRAM Brought about by Ideal Current Limiter , 2007, 2007 22nd IEEE Non-Volatile Semiconductor Memory Workshop.

[77]  Hiroshi Koyama,et al.  High-Speed Resistive Switching of TiO2/TiN Nano-Crystalline Thin Film , 2006 .

[78]  Sung-Min Yoon,et al.  Characterization of silver-saturated Ge–Te chalcogenide thin films for nonvolatile random access memory , 2006 .

[79]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[80]  S. Haddad,et al.  Non-volatile resistive switching for advanced memory applications , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[81]  T. Endoh,et al.  Novel ultra high density flash memory with a stacked-surrounding gate transistor (S-SGT) structured cell , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[82]  D. Morgan,et al.  A model for filament growth and switching in amorphous oxide films , 1970 .

[83]  A. Pirovano,et al.  Scaling analysis of phase-change memory technology , 2003, IEEE International Electron Devices Meeting 2003.

[84]  M. Breitwisch,et al.  Ultra-Thin Phase-Change Bridge Memory Device Using GeSb , 2006, 2006 International Electron Devices Meeting.

[85]  Winfried W. Wilcke,et al.  Storage-class memory: The next storage system technology , 2008, IBM J. Res. Dev..

[86]  J. Bu,et al.  On the go with SONOS , 2000 .

[87]  Luisa D. Bozano,et al.  Mechanism for bistability in organic memory elements , 2004 .

[88]  Mincheol Shin,et al.  SECONDARY COULOMB BLOCKADE GAP IN A FOUR-ISLAND TUNNEL-JUNCTION ARRAY , 1999 .

[89]  David A. Patterson,et al.  Latency Lags Bandwidth , 2005, ICCD.

[90]  L. V. Gregor Polymer dielectric films , 1968 .

[91]  Zoran D. Popovic,et al.  Memory Effect and Negative Differential Resistance by Electrode‐ Induced Two‐Dimensional Single‐ Electron Tunneling in Molecular and Organic Electronic Devices , 2005 .