Low Power Clock Routing for 3D IC

This chapter focuses on low-power and low-slew clock network design and analysis for through-silicon-via (TSV)-based three-dimensional stacked ICs (3D ICs). First, we study the impact of the TSV count and the TSV RC parasitics on clock power consumption. Several techniques are introduced to reduce the clock power consumption and slew of the 3D clock distribution network. We analyze how these design factors affect the overall wirelength, clock power, slew, and skew in 3D clock network design. Second, we study a two-step 3D clock tree synthesis method: (1) 3D abstract tree generation based on the three-dimensional method of means and medians (3D-MMM) algorithm; (2) buffering and embedding based on the slew-aware deferred-merge buffering and embedding (sDMBE) algorithm. We also extend the 3D-MMM method (3D-MMM-ext) to determine the optimal number of TSVs to be used in the 3D clock tree so that the overall power consumption is minimized. Related SPICE simulation indicates that: (1) a 3D clock network that uses multiple TSVs significantly reduces the clock power compared with the single-TSV case; (2) as the TSV capacitance increases, the power savings of a multiple-TSV clock network decreases; and (3) our 3D-MMM-ext method finds a close-to-optimal design point in the TSV count vs. power consumption tradeoff curve very efficiently.

[1]  Shiyan Hu,et al.  Fast Algorithms for Slew-Constrained Minimum Cost Buffering , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Eby G. Friedman,et al.  Clock distribution networks for 3-D ictegrated Circuits , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[3]  Hannu Tenhunen,et al.  Compact modelling of Through-Silicon Vias (TSVs) in three-dimensional (3-D) integrated circuits , 2009, 2009 IEEE International Conference on 3D System Integration.

[4]  Qing K. Zhu High-speed clock network design , 2002 .

[5]  Hsien-Hsin S. Lee,et al.  Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[6]  W. Dehaene,et al.  Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.

[7]  Majid Sarrafzadeh,et al.  Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Wayne P. Burleson,et al.  Low-power clock distribution in a multilayer core 3d microprocessor , 2008, GLSVLSI '08.

[9]  B. Dang,et al.  Reliability testing of through-silicon vias for high-current 3D applications , 2008, 2008 58th Electronic Components and Technology Conference.

[10]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[11]  Xin Zhao,et al.  Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[12]  Xin Zhao,et al.  Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.

[13]  Arvind Srinivasan,et al.  Clock routing for high-performance ICs , 1991, DAC '90.

[14]  E. Friedman,et al.  Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance , 2009, IEEE Transactions on Electron Devices.

[15]  Sung Kyu Lim,et al.  A study of Through-Silicon-Via impact on the 3D stacked IC layout , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[16]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[17]  Eby G. Friedman,et al.  Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.

[18]  Jae-Seok Yang,et al.  TSV stress aware timing analysis with applications to 3D-IC layout optimization , 2010, Design Automation Conference.

[19]  Katsuyuki Sakuma,et al.  Three-dimensional silicon integration , 2008, IBM J. Res. Dev..

[20]  Taewhan Kim,et al.  Clock tree embedding for 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[21]  Xin Zhao,et al.  Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[22]  Madhavan Swaminathan,et al.  Electrical modeling of Through Silicon and Package Vias , 2009, 2009 IEEE International Conference on 3D System Integration.

[23]  K.A. Jenkins,et al.  A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[24]  Andrew B. Kahng,et al.  On the skew-bounded minimum-buffer routing tree problem , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..