Aging mitigation in memory arrays using self-controlled bit-flipping technique

With CMOS technology downscaling into the nanometer regime, the reliability of SRAM memories is threatened by accelerated transistor aging mechanisms such as Bias Temperature Instability (BTI). BTI leads to a considerable degradation of SRAM cell Static Noise Margin (SNM), which increases the memory failure rate. Since BTI is workload dependent, the aging rates of different cells in a memory array are quite non-uniform. To address this issue, a variety of bit-flipping techniques has been proposed to decrease the SNM degradation by balancing the signal probabilities of the cells. However, existing bit-flipping techniques impose too much area and power overhead as at least an additional column is required to store the inversion flags. In this paper, we propose a low cost self-controlled bit-flipping technique which inverts all bit positions with respect to an existing bit. This technique is applied to a register-file and cache units of an embedded microprocessor. Our simulation results show that the reliability of the proposed technique is similar to that of existing bit-flipping techniques, while imposing 64% less area overhead.

[1]  R.V. Joshi,et al.  The Impact of Aging Effects and Manufacturing Variation on SRAM Soft-Error Rate , 2008, IEEE Transactions on Device and Materials Reliability.

[2]  Ching-Te Chuang,et al.  Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability , 2009, Microelectron. Reliab..

[3]  John Arends,et al.  Designing the M/spl middot/CORE/sup TM/ M3 CPU architecture , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[4]  Ku He,et al.  Temperature-aware NBTI modeling and the impact of input vector control on performance degradation , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[5]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[6]  James H. Stathis,et al.  Reliability of advanced high-k/metal-gate n-FET devices , 2010, Microelectron. Reliab..

[7]  Mehdi Baradaran Tahoori,et al.  Aging-aware standard cell library design , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Andrew R. Brown,et al.  Impact of NBTI/PBTI on SRAM Stability Degradation , 2011, IEEE Electron Device Letters.

[9]  Federico Faccio,et al.  Impact of NBTI Aging on the Single-Event Upset of SRAM Cells , 2010, IEEE Transactions on Nuclear Science.

[10]  Tao Jin,et al.  Low power aging-aware register file design by duty cycle balancing , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Francky Catthoor,et al.  Bias Temperature Instability analysis of FinFET based SRAM cells , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[12]  Hiroto Yasuura,et al.  Signal probability control for relieving NBTI in SRAM cells , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[13]  Scott A. Mahlke,et al.  Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[14]  Michael Nicolaidis,et al.  Reliability challenges of real-time systems in forthcoming technology nodes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[15]  Sani R. Nassif,et al.  High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.

[16]  Jaume Abella,et al.  Penelope: The NBTI-Aware Processor , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[17]  Jörg Henkel,et al.  Stress balancing to mitigate NBTI effects in register files , 2013, 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[18]  Cecilia Metra,et al.  Impact of Aging Phenomena on Soft Error Susceptibility , 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.

[19]  Sanghamitra Roy,et al.  Analysis and mitigation of NBTI aging in register file: An end-to-end approach , 2011, 2011 12th International Symposium on Quality Electronic Design.

[20]  R. Degraeve,et al.  Review of reliability issues in high-k/metal gate stacks , 2008, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits.

[21]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[22]  Mehdi Baradaran Tahoori,et al.  Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions , 2012, CODES+ISSS.

[23]  Erika Gunadi,et al.  Combating Aging with the Colt Duty Cycle Equalizer , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[24]  Ronald F. DeMara,et al.  Applicability of power-gating strategies for aging mitigation of CMOS logic paths , 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

[25]  Wei Wang,et al.  On-Chip Aging Sensor Circuits for Reliable Nanometer MOSFET Digital Circuits , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[26]  B. Hoefflinger ITRS: The International Technology Roadmap for Semiconductors , 2011 .

[27]  Mehdi Baradaran Tahoori,et al.  Aging-aware logic synthesis , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[28]  Pingqiang Zhou,et al.  Circuit reliability: from physics to architectures , 2012, ICCAD '12.

[29]  Yu Cao,et al.  The Impact of NBTI on the Performance of Combinational and Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.