At-speed BIST for interposer wires supporting on-the-spot diagnosis

Testing the speed of post-bond interposer wires in a 2.5-D stacked IC is essential for silicon debugging, yield learning, and even for fault tolerance. In this paper, we present a novel at-speed test technique called Pulse-Vanishing test (PV-test), in which a short-duration pulse signal is applied to an interposer wire under test at the d river end. If the pulse signal can successfully propagate through the interposer wire and reach the other end, then the interposer wire is considered fault-free. Otherwise, it indicates the presence of a delay fault. This new test technique has several technical merits. For example, the Design-for-Testability (DfT) circuit for an interposer wire is similar to the boundary scan cell and can be controlled through scan chain. Also, it can be easily adapted to perform at-speed Built-In Self-Test (BIST) supporting on-the-spot diagnosis.

[1]  Sung Kyu Lim,et al.  Pre-Bond and Post-Bond Test and Signal Recovery Structure to Characterize and Repair TSV Defect Induced Signal Degradation in 3-D System , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[2]  P. R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.

[3]  Shi-Yu Huang,et al.  Programmable Leakage Test and Binning for TSVs , 2012, 2012 IEEE 21st Asian Test Symposium.

[4]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[5]  Erik Jan Marinissen Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Erik Jan Marinissen,et al.  Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base , 2011, 2011 IEEE International Test Conference.

[7]  Abhijit Chatterjee,et al.  Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Erik Jan Marinissen,et al.  Optimization methods for post-bond die-internal/external testing in 3D stacked ICs , 2010, 2010 IEEE International Test Conference.

[9]  Ding-Ming Kwai,et al.  A built-in self-test scheme for the post-bond test of TSVs in 3D ICs , 2011, 29th VLSI Test Symposium.

[10]  Mario H. Konijnenburg,et al.  3D DfT architecture for pre-bond and post-bond testing , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[11]  Ding-Ming Kwai,et al.  On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification , 2009, 2009 Asian Test Symposium.

[12]  Paul D. Franzon,et al.  Through Silicon Via(TSV) defect/pinhole self test circuit for 3D-IC , 2009, 2009 IEEE International Conference on 3D System Integration.

[13]  Fangming Ye,et al.  TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation , 2012, DAC Design Automation Conference 2012.

[14]  Hsien-Hsin S. Lee,et al.  Test Challenges for 3D Integrated Circuits , 2009, IEEE Design & Test of Computers.

[15]  Benoit Nadeau-Dostie,et al.  An embedded technique for at-speed interconnect testing , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).