Non-Volatile Complementary Polarizer Spin-Transfer Torque On-Chip Caches: A Device/Circuit/Systems Perspective

In this paper, we propose a new spin-transfer torque magnetic random access memory (STT-MRAM) bit-cell structure (with complementary polarizers) that is suitable for on-chip caches. Our proposed structure requires a lower average critical write current than standard STT-MRAM, with improved write-ability, readability, and reliability. A cache array based on our proposed structure is studied using a device/circuit simulation framework, which we developed for this paper. Simulation results show that at the bit-cell level, our proposed structure can achieve subnanosecond sensing delay and lower read disturb torque using a self-referenced differential READ operation. Sensing and disturb margins of our proposed cell are 1.8× and 2.4× better than standard STT-MRAM, respectively. Furthermore, near disturb-free READ operation at ≥1.5 GHz is achieved using a latch-based sense amplifier and verified in circuit simulations. In addition, content addressable memory may also be efficiently implemented using complementary polarizer spin-transfer torque (CPSTT). Transient SPICE simulations show that CPSTT may be suitable for L1 cache, with a read energy of 14 fJ/bit. System level simulation shows that a CPSTT-based L2 cache can achieve ~9% lower energy consumption and >9% improvement in instructions per cycle over a standard STT-MRAM-based cache.

[1]  Xuanyao Fong,et al.  Correction to "Complimentary polarizers STT-MRAM (CPSTT) for on-chip caches" [Feb 13 232-234] , 2013 .

[2]  K. Roy,et al.  Proposal for Switching Current Reduction Using Reference Layer With Tilted Magnetic Anisotropy in Magnetic Tunnel Junctions for Spin-Transfer Torque (STT) MRAM , 2012, IEEE Transactions on Electron Devices.

[3]  Kaushik Roy,et al.  Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture , 2012, DAC Design Automation Conference 2012.

[4]  Xuanyao Fong,et al.  STT-MRAMs for future universal memories: Perspective and prospective , 2012, 2012 28th International Conference on Microelectronics Proceedings.

[5]  S. Datta,et al.  Voltage Asymmetry of Spin-Transfer Torques , 2009, IEEE Transactions on Nanotechnology.

[6]  Xuanyao Fong,et al.  Bit-Cell Level Optimization for Non-volatile Memories Using Magnetic Tunnel Junctions and Spin-Transfer Torque Switching , 2012, IEEE Transactions on Nanotechnology.

[7]  Supriyo Datta,et al.  Modeling all spin logic: Multi-magnet networks interacting via spin currents , 2011, 2011 International Electron Devices Meeting.

[8]  David A. Patterson,et al.  Computer Organization and Design, Revised Fourth Edition, Fourth Edition: The Hardware/Software Interface , 2011 .

[9]  Dongsoo Lee,et al.  Column-selection-enabled 8T SRAM array with , 2011, ISLPED 2011.

[10]  Kaushik Roy,et al.  Column-selection-enabled 8T SRAM array with ∼1R/1W multi-port operation for DVFS-enabled processors , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[11]  H. Meng,et al.  Reduction of switching current by spin transfer torque effect in perpendicular anisotropy magnetoresistive devices (invited) , 2011 .

[12]  Yiran Chen,et al.  A nondestructive self-reference scheme for Spin-Transfer Torque Random Access Memory (STT-RAM) , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  Luan Tran,et al.  45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[14]  E. Delenia,et al.  A Three-Terminal Approach to Developing Spin-Torque Written Magnetic Random Access Memory Cells , 2009, IEEE Transactions on Nanotechnology.

[15]  Eric Belhaire,et al.  Spintronic Device Based Non-volatile Low Standby Power SRAM , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[16]  S. Ikeda,et al.  2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read , 2008, IEEE Journal of Solid-State Circuits.

[17]  Gu-Yeon Wei,et al.  Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[18]  Norman P. Jouppi,et al.  Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0 , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[19]  Akira Tada,et al.  Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[20]  S. Fukami,et al.  Low-current perpendicular domain wall motion cell for scalable high-speed MRAM , 2006, 2009 Symposium on VLSI Technology.

[21]  高梨 弘毅 Magnetization reversal by spin-transfer torque in 90° configuration with a perpendicular spin polarizer , 2006 .

[22]  J. Slonczewski Currents, torques, and polarization factors in magnetic tunnel junctions , 2004, cond-mat/0404210.

[23]  S. Yuasa,et al.  Giant room-temperature magnetoresistance in single-crystal Fe/MgO/Fe magnetic tunnel junctions , 2004, Nature materials.

[24]  M. Stiles,et al.  Boltzmann test of Slonczewski's theory of spin-transfer torque , 2004, cond-mat/0407569.

[25]  A. Kent,et al.  Spin-transfer-induced precessional magnetization reversal , 2004 .

[26]  S. Datta Quantum Transport: Atom to Transistor , 2004 .

[27]  Y. Hwang,et al.  A 0.24-μm 2.0-V 1T1MTJ 16-kb nonvolatile magnetoresistance RAM with self-reference sensing scheme , 2003, IEEE J. Solid State Circuits.

[28]  J. C. Sloncxewski Current-driven excitation of magnetic multilayers , 2003 .

[29]  Berger Emission of spin waves by a magnetic multilayer traversed by a current. , 1996, Physical review. B, Condensed matter.

[30]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[31]  Lynn Conway,et al.  Introduction to VLSI systems , 1978 .