Impact of Via Density on the Mechanical Integrity of Advanced Back-End-of-Line During Packaging

The influence of via density on the mechanical integrity of Back-End-Of-Line (BEOL) interconnects under Chip Package Interaction (CPI) loading is evaluated using a dedicated package test chip with 4 metal layers, and different via densities interconnecting the first 3 metal layers where the bottom two metal layers employ advanced low-k materials with k = 2.4 and have a low-k/metal ratio density of 50%. The assessment is done with pre and post packaging comparison of two dedicated CPI test structures. The test structures consist of BEOL daisy chains that allow to monitor resistance changes after packaging. The data indicate a correlation between the BEOL via density and packaging failure percentage, where a lower via density in any metal layer induces more failures. Additionally, data obtained from the crack propagation sensor are used to estimate to probability of the crack propagating in the single layer versus the probability of the crack propagating through multiple layers, and its dependence on via density. It is shown that configurations with lower via density, corresponding to approximately 1% for this test vehicle, lead to cracks propagating in multiple layers of the BEOL stack.

[1]  Shisheng Lin,et al.  Evaluation of ultra-low-k dielectric materials for advanced interconnects , 2001 .

[2]  Chirag Shah,et al.  CPI challenges to BEOL at 28nm node and beyond , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[3]  Bart Vandevelde,et al.  Design aspects for CPI robust BEOL , 2014, 2014 15th International Conference on Thermal, Mechanical and Mulit-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE).

[4]  Karen Maex,et al.  Low-k dielectric materials , 2004 .

[5]  Luka Kljucar,et al.  Evaluation of via density and low-k Young's modulus influence on mechanical performance of advanced node multi-level Back-End-Of-Line , 2016, Microelectron. Reliab..

[6]  Yangyang Sun,et al.  Challenges and opportunities of chip package interaction with fine pitch Cu pillar for 28nm , 2014, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC).

[7]  S. Sitaraman,et al.  Study of Chip–Package Interaction Parameters on Interlayer Dielectric Crack Propagation , 2014, IEEE transactions on device and materials reliability.

[8]  E. Beyne,et al.  Chip package interaction (CPI): Thermo mechanical challenges in 3D technologies , 2012, 2012 IEEE 14th Electronics Packaging Technology Conference (EPTC).