System-on-Chip: Reuse and Integration
暂无分享,去创建一个
Shahriar Mirabbasi | Guy Lemieux | Alan J. Hu | Partha Pratim Pande | Cristian Grecu | André Ivanov | Resve A. Saleh | Mark R. Greenstreet | Steven J. E. Wilton | S. Wilton | C. Grecu | A. Ivanov | R. Saleh | P. Pande | A. Hu | S. Mirabbasi | M. Greenstreet | G. Lemieux
[1] Harry Foster,et al. Principles of verifiable RTL design - a functional coding style supporting verification processes in Verilog , 2000 .
[2] J. Greenbaum. Reconfigurable logic in SoC systems , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[3] Vijay K. Madisetti,et al. System on Chip or System on Package? , 1999, IEEE Des. Test Comput..
[4] Guy Lemieux,et al. An improved "soft" eFPGA design and implementation strategy , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
[5] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[6] Milo M. K. Martin,et al. Token Coherence: decoupling performance and correctness , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[7] Vishwani D. Agrawal,et al. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.
[8] Shi-Yu Huang,et al. Formal Equivalence Checking and Design Debugging , 1998 .
[9] Adoración Rueda,et al. Analog/mixed-signal IP modeling for design reuse , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[10] Ron Gilster,et al. Bluetooth End to End , 2002 .
[11] Steven M. Nowick,et al. Robust interfaces for mixed-timing systems , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Rochit Rajsuman. System-On-A-Chip: Design and Test , 2000 .
[13] Rudy Lauwereins,et al. Design, Automation, and Test in Europe , 2008 .
[14] Fadi J. Kurdahi,et al. MorphoSys: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications , 2000, IEEE Trans. Computers.
[15] T. Vaida. PLC advanced technology demonstrator TestChipB , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[16] Dana Fisman,et al. The Temporal Logic Sugar , 2001, CAV.
[17] Yervant Zorian. Guest Editor's Introduction: What is Infrastructure IP? , 2002, IEEE Des. Test Comput..
[18] Alan J. Hu,et al. Cutpoints for formal equivalence verification of embedded software , 2005, EMSOFT.
[19] Francisco da Silva,et al. What is the IEEE 1500 Standard , 2006 .
[20] Charles E. Molnar,et al. Anomalous Behavior of Synchronizer and Arbiter Circuits , 1973, IEEE Transactions on Computers.
[21] K MadisettiVijay,et al. System on Chip or System on Package , 1999 .
[22] Charles E. Leiserson,et al. Fat-trees: Universal networks for hardware-efficient supercomputing , 1985, IEEE Transactions on Computers.
[23] Steven J. E. Wilton,et al. Programmable logic IP cores in SoC design: opportunities and challenges , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[24] Ran Ginosar,et al. Data synchronization issues in GALS SoCs , 2004, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings..
[25] Resve A. Saleh. An approach that will NoC your SoCs off! , 2005, IEEE Des. Test Comput..
[26] R. Seepold. Virtual Socket Interface Alliance , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[27] Stephen A. Edwards,et al. Languages for Digital Embedded Systems , 2000 .
[28] Om Prakash Gangwal,et al. An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005 .
[29] Stephan Merz,et al. Model Checking , 2000 .
[30] Michele Borgatti,et al. A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O , 2003 .
[31] Zheying Li,et al. A study on analog IP blocks for mixed-signal SoC , 2003, ASICON 2003.
[32] Jonathan Rose,et al. Design, layout and verification of an FPGA using automated tools , 2005, FPGA '05.
[33] Thomas Schubert,et al. High-level formal verification of next-generation microprocessors , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[34] G. G. Stokes. "J." , 1890, The New Yale Book of Quotations.
[35] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[36] Alberto L. Sangiovanni-Vincentelli,et al. A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[37] Shahriar Mirabbasi,et al. Analog IP design flow for SoC applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[38] Krzysztof R. Apt,et al. Logics and Models of Concurrent Systems , 1989, NATO ASI Series.
[39] Kenneth S. Kundert,et al. Design of mixed-signal systems-on-a-chip , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[40] Herman Schmit,et al. Regular logic fabrics for a via patterned gate array (VPGA) , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[41] J.N. Seizovic,et al. Pipeline synchronization , 1994, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems.
[42] Bob Bentley. High level validation of next-generation microprocessors , 2002, Seventh IEEE International High-Level Design Validation and Test Workshop, 2002..
[43] Ronald I. Greenberg,et al. An improved analytical model for wormhole routed networks with application to butterfly fat-trees , 1997, Proceedings of the 1997 International Conference on Parallel Processing (Cat. No.97TB100162).
[44] Partha Pratim Pande,et al. Timing analysis of network on chip architectures for MP-SoC platforms , 2005, Microelectron. J..
[45] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[46] Wolfgang Fichtner,et al. Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).
[47] Pierre G. Paulin,et al. System-on-chip beyond the nanometer wall , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[48] M. Borgatti,et al. A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA and customisable I/O , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[49] Kenneth P. Parker. The Boundary-Scan Handbook: Analog and Digital , 1998 .
[50] Edmund M. Clarke,et al. Compositional model checking , 1989, [1989] Proceedings. Fourth Annual Symposium on Logic in Computer Science.
[51] Y. Lacasse,et al. From the authors , 2005, European Respiratory Journal.
[52] L. Benini,et al. Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.
[53] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[54] Resve A. Saleh,et al. Consistency checking and optimization of macromodels , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[55] Michele Borgatti,et al. Platform IC with embedded via programmable logic for fast customization , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
[56] Avner Landver,et al. The ForSpec Temporal Logic: A New Temporal Property-Specification Language , 2002, TACAS.
[57] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[58] Scott Hauck,et al. Automating the layout of reconfigurable subsystems using circuit generators , 2005, 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'05).
[59] Jonathan Rose,et al. Architecture of field-programmable gate arrays: the effect of logic block functionality on area efficiency , 1990 .
[60] Lionel M. Ni,et al. Performance Evaluation of Switch-Based Wormhole Networks , 1997, IEEE Trans. Parallel Distributed Syst..
[61] Malgorzata Marek-Sadowska,et al. Designing a via-configurable regular fabric , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
[62] Jonathan Rose,et al. The effect of logic block architecture on FPGA performance , 1992 .
[63] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[64] Kees G. W. Goossens,et al. An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[65] Wayne Luk,et al. Reconfigurable computing: architectures and design methods , 2005 .
[66] Jens Sparsø,et al. Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[67] Amir Pnueli,et al. In Transition From Global to Modular Temporal Reasoning about Programs , 1989, Logics and Models of Concurrent Systems.
[68] Scott Hauck,et al. Improving performance and robustness of domain-specific CPLDs , 2006, FPGA '06.
[69] Stephen D. Brown,et al. Flexibility of interconnection structures for field-programmable gate arrays , 1991 .
[70] Prakash Rashinkar. System-On-A-Chip verification , 2001 .
[71] Grant Martin,et al. Surviving the SOC Revolution: A Guide to Platform-Based Design , 1999 .
[72] Mark R. Greenstreet,et al. Efficient self-timed interfaces for crossing clock domains , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..
[73] Daniel Wesley Lewis,et al. Fundamentals of Embedded Software: Where C and Assembly Meet with Cdrom , 2001 .
[74] Anantha P. Chandrakasan,et al. Low Power Digital CMOS Design , 1995 .
[75] Seth Copen Goldstein,et al. PipeRench: A Reconfigurable Architecture and Compiler , 2000, Computer.
[76] David G. Messerschmitt,et al. Synchronization in Digital System Design , 1990, IEEE J. Sel. Areas Commun..
[77] A. El Gamal,et al. Architecture of field-programmable gate arrays , 1993, Proc. IEEE.
[78] Guy Lemieux,et al. Design of interconnection networks for programmable logic , 2003 .
[79] Pierre Bricaud,et al. Reuse methodology manual for system-on-chip designs , 1998 .
[80] Steven J. E. Wilton,et al. The memory/logic interface in FPGAs with large embedded memory arrays , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[81] Leonard R. Marino,et al. General theory of metastable operation , 1981, IEEE Transactions on Computers.
[82] R. Saleh,et al. Design considerations for soft embedded programmable logic cores , 2005, IEEE Journal of Solid-State Circuits.
[83] Alain Greiner,et al. A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.
[84] Kees G. W. Goossens,et al. Guaranteeing the Quality of Services in Networks on Chip , 2003, Networks on Chip.
[85] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[86] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[87] Ran Ginosar. Fourteen ways to fool your synchronizer , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..
[88] Steven J. E. Wilton,et al. Detailed routing architectures for embedded programmable logic IP cores , 2001, FPGA '01.
[89] Rob A. Rutenbar,et al. Computer-aided design of analog and mixed-signal integrated circuits , 2000, Proceedings of the IEEE.
[90] Scott Hauck,et al. Track Placement: Orchestrating Routing Structures to Maximize Routability , 2003, FPL.
[91] Luca Benini,et al. Packetization and routing analysis of on-chip multiprocessor networks , 2004, J. Syst. Archit..